CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog LFSR

搜索资源列表

  1. rng

    0下载:
  2. verilog编写随机数产生源程序,在硬件电路设计中应用广泛。本程序是在LFSR and a CASR 基础上实现的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:94308
    • 提供者:Alex
  1. 伪随机序列

    0下载:
  2. 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and ci
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:夏沫
  1. lfsr

    0下载:
  2. 此实验介绍了伪随机序列的产生原理,并用verilog语言将其编码实现,有详细的代码备注-This experiment introduces the principle of pseudo-random sequence and its encoded with the verilog language implementation, a detailed code Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:76837
    • 提供者:飞扬奇迹
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. lfsr

    0下载:
  2. 用LSFR实现计数功能,可以减少对寄存器和少一个加法器,涉及verilog的人来说-Used to achieve LSFR counting functions, can be reduced to a few registers and adders, the people involved in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:61736
    • 提供者:liuzefu
  1. profiles

    0下载:
  2. source code of counter,ram,lfsr etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2267
    • 提供者:narsimha
  1. lfsr.v.tar

    0下载:
  2. linear feedback shift register for generator in verilog code for random sequence generation.
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:1768
    • 提供者:balu
  1. BIST

    0下载:
  2. A simple BIST in VHDL. It contains a LFSR with an SISR.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:405673
    • 提供者:bommeren
  1. ass1_2_hamming

    0下载:
  2. Hamming codes are a class of binary linear codes. They can detect up to two simultaneous bit errors, and correct single-bit errors. In particular, a single-error-correcting and double error detecting variant commonly referred to SECDED.-a) Develop a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1133589
    • 提供者:wei chenghao
  1. lfsr

    0下载:
  2. linear feedback shift register verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3911
    • 提供者:zcos123
  1. lfsr

    0下载:
  2. simple PRBS generator using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:563
    • 提供者:karthik
  1. LFSR

    0下载:
  2. practical example using verilog and vhdl by xilinx
  3. 所属分类:Communication

    • 发布日期:2017-05-02
    • 文件大小:854339
    • 提供者:ali
  1. verilog-lfsr-updown-counter

    0下载:
  2. Verilog 8 bit LFSR Up-Down Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:9787
    • 提供者:cmags
  1. LFSR

    0下载:
  2. Verilog code for an 8-bit LFSR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:746
    • 提供者:baboy
  1. LFSR

    0下载:
  2. 这是基于FPGA开发板NEXTS3的一个verilog程序,是一个线性反馈移位寄存器LFSR,可用来生成伪随机数-This is based on the FPGA development board NEXTS3 a verilog program, is a linear feedback shift register LFSR, can be used to generate pseudo random Numbers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:859069
    • 提供者:黄志宇
  1. hidejj

    0下载:
  2. 实现线性反馈移位寄存器的verilog实现(lfsr use verilog for the zip)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:3205120
    • 提供者:嘿嘿702
  1. pseudo_random

    1下载:
  2. 基于vivado Verilog的伪随机数发生器,采用LFSR算法,并对其进行了升级,使用反馈级联的思想,从最大周期为2^n提升为原来的3-5倍(Based on vivado Verilog pseudo random number generator, using LFSR algorithm, and upgrade it, using the idea of feedback cascade, from the maximum cycle of 2^n to 3-5 times the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:1889280
    • 提供者:9901tzh
  1. lab2B(4)LFSR

    0下载:
  2. 实现4位二进制随机数的产生的verilog代码(Implementation of generation random 4 bits code in verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:电聪骑风
  1. BIC

    0下载:
  2. this project for adaptive schme techniques by using LFSR design projects
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:175104
    • 提供者:king of VLSI
« 12 »
搜珍网 www.dssz.com