CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog MUSIC

搜索资源列表

  1. beep

    0下载:
  2. 用CPLD驱动扬声器实现音乐的播放,程序是用VERILOG写的,-CPLD driver speakers with music player, the program is written in VERILOG,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:262401
    • 提供者:wagjur
  1. DF2C8_04_BEEP

    0下载:
  2. verilog实现蜂鸣器自动演奏一首乐曲,同时数码管显示当前演奏的简谱音符 符号。-verilog achieve buzzer automatically play a piece of music, and digital display notes the current performance of the musical notation symbols.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:330780
    • 提供者:qiutian
  1. Music_LiangZhu

    0下载:
  2. FPGA音乐试验,语言:verilog HDL-A FPGA expperientation which can play music Liangzhu,language:verilog HDL
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-25
    • 文件大小:289816
    • 提供者:CQJ
  1. DE2_SD_Card_Audio(Modified)

    0下载:
  2. 在DE2开发板上实现的SD卡mp3音乐播放器。硬件部分用Verilog语言编写,在Quartus上编译;软件部分用C语言编写,在Nios2上编译运行。-DE2 development board in the realization of the SD card mp3 music player. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3018259
    • 提供者:符玉襄
  1. DE2_SD_Card_Audio

    0下载:
  2. 在DE2实现SD卡音乐播放器 编写语言verilog-In the DE2 SD Card music player to achieve the preparation of language verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1601001
    • 提供者:吴鹏
  1. music

    0下载:
  2. verilog HDL编写的文件,实现音乐播放,FPGA为EP2C8Q208C8N,编译通过,详细内容参考代码。-verilog HDL documents prepared, the music player, FPGA to EP2C8Q208C8N, compile, details reference code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2980
    • 提供者:维斯
  1. music

    0下载:
  2. 用FPGA实现的歌曲“梁祝”播放程序,用Verilog HDL编写-FPGA implementation with the song " Butterfly Lovers" player, written with Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:312796
    • 提供者:谭德
  1. music

    0下载:
  2. 通过按键操作使峰鸣器发出乐器声的Verilog HDL程序-Through key operation so that buzzer sound instruments issued by Verilog HDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:609735
    • 提供者:xiong
  1. music

    0下载:
  2. 用verilog实现蜂鸣器播放音乐,板子上验证正确-Verilog implementation buzzer with music, the board verify the correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:635110
    • 提供者:飞天
  1. midi_xe2

    1下载:
  2. midi格式的音乐芯片的模块代码 verilog编写 可播放midi格式音乐-midi format music chip module verilog code to write music, play midi format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:14663
    • 提供者:gl
  1. music

    0下载:
  2. FPGA控制无源蜂鸣器,演奏音乐《光辉岁月》verilog编程-FPGA verilog music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:690822
    • 提供者:朱浩
  1. LIANGZHU

    0下载:
  2. 世界名曲《梁祝》verilog程序,包括二进制下载文件等。-verilog music bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:255584
    • 提供者:刀客诗人
  1. Verilog

    0下载:
  2. 自动售货机,乐曲演奏电路,4 位数字频率计等详细程序代码-Detailed code of vending machines, the music playing circuit, four digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:181020
    • 提供者:张娜
  1. music

    0下载:
  2. 利用FPGA模拟弹钢琴的Verilog代码。在Xilinx ISE 14.3 编译通过-Using FPGA Verilog code simulation play the piano. Compiled by Xilinx ISE 14.3
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-23
    • 文件大小:1278568
    • 提供者:侯松岩
  1. music

    0下载:
  2. 用Verilog HDL编写的音乐彩灯程序-Music Lantern program written using Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:1132
    • 提供者:wenyangzeng
  1. music

    0下载:
  2. 用verilog完成的八音盒,能够播放音乐,是一个不错的小玩具,对新手学习有用-Used the verilog to complete the music box, be able to play music, it is a nice little toy for the novice learn useful
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-23
    • 文件大小:2201417
    • 提供者:minrui
  1. music

    0下载:
  2. verilog实现FPGA板的音乐播放功能,可调整不同乐谱-Verilog FPGA board music player, adjustable sheet music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:440195
    • 提供者:passerby9091
  1. MUSIC

    0下载:
  2. 基于Verilog HDL制作的音频程序、-Verilog HDL-based audio programs produced,
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:575773
    • 提供者:朱文静
  1. src

    0下载:
  2. 实现VERILOG音乐播放器功能,但是不能快进,能显示其歌词。 希望有帮助-Realize the VERILOG music player functions, but can t fast forward, to show its lyrics. Hope to have help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:21419
    • 提供者:jenny
  1. music

    0下载:
  2. 乐曲播放程序,便于初学者掌握verilog HDL语言的进行数控分频器的设计和使用(The music player program is easy for beginners to master the design and use of Verilog HDL language.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:796672
    • 提供者:victorfan2017
« 12 3 4 5 »
搜珍网 www.dssz.com