CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - add floating vhdl

搜索资源列表

  1. add(FLP).32位元的浮点数加法器

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加,A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:9769
    • 提供者:TTJ
  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. top_pnadd32

    0下载:
  2. 32位元浮点数加法器,用于以VHDL编写的32位元CPU-32 bits floating-point Add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2289
    • 提供者:朋友
  1. f

    0下载:
  2. This documents describes a free single precision floating point unit. This floating point unit can perform add, subtract, multiply, divide, integer to floating point and floating point to integer conversion.-This documents describes a free sing
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:74177
    • 提供者:k
搜珍网 www.dssz.com