CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - algorithm for viterbi decoder

搜索资源列表

  1. viterbi213

    0下载:
  2. 提供了一个硬判决的viterbi译码器(2,1,3) 有源程序及算法描述,未成定稿,只供参考 (vhdl 语言描述) -provided a hard decision of the Viterbi Decoder (2,1, 3) the source code and the algorithm descr iption, from his position as final, for reference (vhdl Descr iption Language)
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3344105
    • 提供者:潘 应 云
  1. deconvgaijin

    1下载:
  2. 卷积码译码算法改进 实现Conv.(2,1,9)的编码、软判决滑动窗维特比译码,其生成多项式为G0=561(八进制),G1=753(八进制),调制方式为BPSK,信道为AWGN,比较不同的译码深度对译码器性能的影响-convolutional code decoding algorithm to improve achievement Conv. (2,1,9) of the Code, Soft Decision sliding window Viterbi Decoder, genera
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47171
    • 提供者:李春晖
  1. viterbi

    2下载:
  2. verilog程序,实现了(2,1,4)卷积码编码,和基于回溯算法的维特比译码器-verilog program to achieve the (2,1,4) convolutional code encoding, and algorithm based on the back of the Viterbi decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:2881
    • 提供者:xiongherui
  1. urn_nbn_se_liu_diva-6949-1__fulltext

    0下载:
  2. Viterbi decoder algorithm
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-02
    • 文件大小:562680
    • 提供者:Hossam Ahmed
  1. sova0

    0下载:
  2. This function implememts Soft Output Viterbi Algorithm in trace back mode Input: rec_s: scaled received bits. rec_s(k) = 0.5 * L_c(k) * y(k) L_c = 4 * a * Es/No, reliability value of the channel y: received bits g: encoder generato
  3. 所属分类:Algorithm

    • 发布日期:2017-03-24
    • 文件大小:1316
    • 提供者:yk
  1. viterbi

    0下载:
  2. 维特比算法,用于对卷积码进行解码,算法最小化汉明距离而不是似然函数-Viterbi algorithm. The Viterbi decoder for convolutional codes.This algorithm minimizes the metric rather than maximizingthe likelihood.
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:1932
    • 提供者:李银银
  1. cvitterbimaath

    0下载:
  2. 信道解码viterbi算算法的matlab实现,详细 -Channel decoder viterbi Algorithm for Matlab realization
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:5278
    • 提供者:
  1. 216Convolutional-code-Vibet

    1下载:
  2. 实现(2,1,6)卷积码的维比特译码源程序,采用了最大似然算法 介绍了软判决维特比译码算法过程的三个步骤:初始化,度量更新和回溯译码 -Achieve (2,1,6) convolutional code Viterbi decoder source code, using the maximum likelihood algorithm for soft decision Viterbi decoding algorithm process three steps: initiali
  3. 所属分类:Compiler program

    • 发布日期:2017-11-01
    • 文件大小:6993
    • 提供者:mary
搜珍网 www.dssz.com