CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - analog to digital

搜索资源列表

  1. AD7793.rar

    0下载:
  2. Analog-to-digital converter AD7793 functions to work with ATmega128/ATmega2560,Analog-to-digital converter AD7793 functions to work with ATmega128/ATmega2560
  3. 所属分类:Other Embeded program

    • 发布日期:2014-05-04
    • 文件大小:1685
    • 提供者:Nikson1200
  1. FFT

    2下载:
  2. 流水线模数转换电路输出信号做fft后求SNR,SNDR的matlab程序-matlab fft program for SNR and SNDR of pipelined analog to digital converter(ADC)
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:149312
    • 提供者:liu
  1. Analog-to-digital converter survey and analysis.ra

    0下载:
  2. 软件无线电技术应用- The software radio technology applies
  3. 所属分类:Document

    • 发布日期:2017-11-09
    • 文件大小:408070
    • 提供者:刘军
  1. adc_1602

    0下载:
  2. atmega128单片机实现模数转换并通过1602液晶显示出结果。-atmega128 achieve single-chip analog-to-digital converter and liquid crystal display through the 1602 results.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:31516
    • 提供者:feng
  1. PCM_encode_decode

    1下载:
  2. PCM编码把模拟信号转换为数字编码,在通信电路的目的地,脉冲调制解调器将二进制的数字编码重新转换成那些在调制器中有相同量子水平的脉冲。-PCM encoding to convert analog signals to digital encoding, the destination of the communication circuit, the binary pulse modem re-converted into digital code modulator in which the
  3. 所属分类:matlab

    • 发布日期:2016-01-27
    • 文件大小:2691
    • 提供者:蔡晓
  1. analog2digitalconverter

    0下载:
  2. analog to digital converter source code, very good
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-28
    • 文件大小:3053
    • 提供者:ojokojo
  1. ADC

    0下载:
  2. Analog to Digital converting using matlab
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:1123
    • 提供者:MK PARK
  1. ThesamemethodbasedontheimpulseresponseoftheIIRdigi

    0下载:
  2. 基于冲激响应不变法的IIR数字滤波器设计,冲激响应不变法的设计原理是利用数字滤波器的单位抽样响应序列H(z)来逼近模拟滤波器的冲激响应g(t)。 按照冲激响应不变法的原理,通过模拟滤波器的系统传递函数G(s),可以直接求得数字滤波器的系统函数H(z),其转换步骤如下: 1) 利用ω=ΩT(可由关系式 推导出),将 , 转换成 ,Ω,而 , 不变; 2) 求解低通模拟滤波器的传递函数G(s); 3) 将模拟滤波器的传递函数G(s)转换为数字滤波器的传递函数H(z)。 -The
  3. 所属分类:Special Effects

    • 发布日期:2017-03-29
    • 文件大小:22581
    • 提供者:洪杰
  1. Analog-to-digital-converter

    0下载:
  2. 模数转化器,64位双精度的模拟输入值,16位数字输出-Analog to digital converter, 64-bit double-precision analog inputs, 16 digital outputs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:818
    • 提供者:chenxuying
  1. Lab4---Analog-to-Digital-Converter

    0下载:
  2. it is analog to digital converter using an lpc2103
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-09
    • 文件大小:1363645
    • 提供者:abel
  1. TMS320x2833x-Analog-to-Digital-Converter_spru812a

    0下载:
  2. TMS320x2833x Analog-to-Digital Converter_spru812a
  3. 所属分类:DSP program

    • 发布日期:2017-03-26
    • 文件大小:238167
    • 提供者:Deep
  1. Analog-to-Digital-Converter-Model

    0下载:
  2. Analog-to-Digital Converter Model.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-26
    • 文件大小:1870
    • 提供者:jerryzhang
  1. Analog-to-Digital-Converter

    0下载:
  2. Analog-to-Digital Converter
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:52638
    • 提供者:黄建权
  1. Lab4---Analog-to-Digital-Converter-yonas

    0下载:
  2. Analog to digital conversion
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-07
    • 文件大小:83651
    • 提供者:JaredDej
  1. ADC0809-analog-to-digital-conversion

    0下载:
  2. ADC0809模数转换与显示 适合AT89C51单片机Proteus开发仿真-ADC0809 analog-to-digital conversion and display for AT89C51 Proteus simulation development
  3. 所属分类:SCM

    • 发布日期:2017-11-05
    • 文件大小:16349
    • 提供者:bella
  1. analog-to-digital-

    0下载:
  2. 单片机c语言编程\单片机源程序超级精华包\无线电制作精汇(2)单片机源程序\用AT89C2051实现模数转换-SCM c language programming \ MCU source package super essence \ radio production Jinwe (2) MCU source \ implement analog to digital conversion using AT89C2051
  3. 所属分类:SCM

    • 发布日期:2017-11-11
    • 文件大小:2884
    • 提供者:黄亚新
  1. AD-analog-to-digital

    0下载:
  2. 此程序是由AVR单片机设计的AD模数转换模块,实现不同的转换方案!-This program is designed by AVR MCU AD analog-to-digital conversion module, the transition of different solution!
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:2080
    • 提供者:刘礼元
  1. spru812a-TMS320x2833x--2823x-Analog-to-Digital-Co

    0下载:
  2. TMS320x2833x Analog-to-Digital Converter (ADC) Module Reference Guide
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:241629
    • 提供者:swk
  1. analog-to-digital-conversion-circuit

    0下载:
  2. 基于0808模/数转换器的测试电压的仿真,包括电路图的设计和c语言代码-analog to digital conversion circuit
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-30
    • 文件大小:18954
    • 提供者:wang
  1. analog-to-digital-conversion-by-marcel-j.m-pelgro

    0下载:
  2. analog to digital conversion
  3. 所属分类:Development Research

    • 发布日期:2017-06-09
    • 文件大小:16177423
    • 提供者:foroogh
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com