CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - color bar vhdl

搜索资源列表

  1. EP1C3_12_3_VGA

    0下载:
  2. 彩条显示,可以实现横竖和水平的显示,副有源程序-Color Bar shows that can be achieved if they had, and the level of the display, the Deputy has source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:61583
    • 提供者:杨大伟
  1. vga

    0下载:
  2. 电视机彩条发生器,有文字,图案等多种选择模式-TV Color Bar Generator, with text, patterns and other selection mode
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:7185
    • 提供者:ryoheihan
  1. vga5

    0下载:
  2. VGA彩条发生器设计,可实现8条横、竖彩条和多彩棋盘格的显示。经过硬件测试,-VGA Color Bar Generator designed to achieve the eight horizontal, vertical color bars and colorful checkerboard display. After the hardware test,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:280754
    • 提供者:wdw
  1. fpgavgavhdl

    0下载:
  2. fpga驱动vga接口的vhdl语言实现,实现彩条及方块-fpga driver vga interface vhdl language implementation to achieve color bar and the box
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:82447
    • 提供者:万小中
  1. VGA

    0下载:
  2. 基于FPGA的VGA时序彩条信号实现方法及其应用-FPGA-based VGA color bar signal timing method and its application to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:134449
    • 提供者:chen
  1. VGA

    0下载:
  2. VGA彩条信号发生器的设计。用到了RGB三基色来组成八种颜色构成彩条信号。-VGA color bar signal generator design. Use of the RGB three primary colors to form the eight kinds of colors of color bar signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:407414
    • 提供者:huangdeyi
  1. VGA

    0下载:
  2. VGA彩条发生器的实现 VHDL语言实现-VGA color bar generator, VHDL language to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2145
    • 提供者:Ivan_s
  1. VGA

    0下载:
  2. 使用VHDL语言和FPGA器件实现VGA彩条信号的产生。-Using the VHDL language and FPGA devices to achieve VGA color bar signal generation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:355214
    • 提供者:cqwrh023
  1. Video_ColorBar

    0下载:
  2. vhdl编写的程序,主要用来做彩条发生器,是CPLD开发的一个小例子而已,但是基础很重要嘛-vhdl programs written primarily used for color bar generator, is a small example of CPLD development only, but the basic thing is very important
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:526445
    • 提供者:
  1. 2

    0下载:
  2. TFT-LCD屏的驱动,实现彩色条与滚动条的显示-TFT-LCD screen driver, and the scroll bar in color bar display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2328381
    • 提供者:zhao
  1. color1

    0下载:
  2. FPGA VGA彩条显示 vhdl编程语言-FPGA VGA color bar display vhdl programming language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6220
    • 提供者:李宁
  1. VGA

    0下载:
  2. vhdl实现VGA接口显示四种图案:横彩条、竖彩条、棋盘格、白屏。文件包含四个模块:clk_div2——二分频(输入50Mhz输出25Mhz)、makecolor产生彩条信号、vgasyn产生行同步hs和列同步vs、行计数器hadddr、列计数器vaddr,vga_main主程序连接前三个模块。-vhdl implementation VGA interface displays four patterns: horizontal color bar, vertical color bars,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2157
    • 提供者:zhanghuan
  1. VHDL-VGA

    0下载:
  2. 基于VHDL的VGA彩条信号显示控制器,实现将预置的图像或动画在VGA显示器上正确显示的功能。-Based on the color bar signal VHDL VGA display controller, to achieve the preset image or animation function correctly displayed on a VGA monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3914
    • 提供者:JACK
  1. vg

    0下载:
  2. 通过vhdl编程实现利用vga显示横向、纵向的彩条码。和棋盘形码-By vhdl programming the vga display horizontal and vertical color bar code. And board barcode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1204
    • 提供者:sun
  1. hang

    0下载:
  2. 用VHDL编写程序实现VGA彩条信号发生器 显示行彩条-VGA color bar signal generator display line color bar with VHDL programming
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:584587
    • 提供者:Individual
  1. img

    0下载:
  2. 彩条设计,采用vhdl编写,已通过Quartus编译,并完成管脚分配-Color bar design, vhdl prepared by Quartus compile and complete pin assignment
  3. 所属分类:Software Testing

    • 发布日期:2017-12-07
    • 文件大小:382978
    • 提供者:祝小菜
  1. color

    0下载:
  2. DE2板上用VHDL写的彩条发生器,ping口已连好,直接可以运行-DE2 board write VHDL color bar generator, ping mouth has been even better, you can directly run
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-12
    • 文件大小:447891
    • 提供者:
  1. caitiao

    0下载:
  2. QuartusII软件写的四条竖彩条信号,通过ADV7171芯片转成模拟信号在监视屏上显示。-The color bar signal which are writen by VHDL ,with the data of the signal converted to analog signal by ADV7171 ,are showed on TV monitor .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4651084
    • 提供者:丁会
  1. VGAdisplay

    0下载:
  2. 本系统编写了VHDL代码实现对对VGA协议的控制,可以在显示屏上显示分辨率为640*480,刷新频率为60Hz的彩条及彩色图片-The system is written VHDL code for VGA protocol for control can be displayed on the display screen with a resolution of 640* 480, refresh rate of 60Hz and a color picture of color bar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12523662
    • 提供者:孙佳贝
  1. text seven

    1下载:
  2. VGA彩条信号显示器设计 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的 EP2C5T144C8 FPGA。(A VGA color bar signal generator is designed and debugged, and an EDA experimental development system is used (the model of the experimental chip to be use
  3. 所属分类:图形图象

    • 发布日期:2020-06-02
    • 文件大小:772096
    • 提供者:一一11.
« 12 »
搜珍网 www.dssz.com