CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cordic_atan

搜索资源列表

  1. CORDIC_ATAN.rar

    3下载:
  2. 使用verilog语言完成了基于cordic算法求反正切的计算,精度为8次迭代,Verilog language used to complete based on CORDIC algorithm for arctangent calculation, an accuracy of 8 iterations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1710
    • 提供者:小米
  1. cordic_atan

    1下载:
  2. CORDIC arctangent(atan) Simulink model. You can generate HDL from this model
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:30233
    • 提供者:nnmb
  1. cordic_atan

    5下载:
  2. 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权,需要的同学可以自己加上。-Calculated usin
  3. 所属分类:数学计算/工程计算

    • 发布日期:2014-01-08
    • 文件大小:80195
    • 提供者:Jorge
  1. cordic_atan

    0下载:
  2. cordic算法,解决了硬件不能实现tan函数的问题,它通过相位选择来实现。-cordic algorithm,The hardware can solve the problem of tan function, it choose to achieve through phase.
  3. 所属分类:Algorithm

    • 发布日期:2017-04-02
    • 文件大小:3400
    • 提供者:张木
  1. cordic_atan

    0下载:
  2. 实现cordic vector模式 3级流水线 24级迭代-24 iterations of the three pipeline cordic vector mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:2893
    • 提供者:呵呵呵
  1. CORDIC_ATAN

    0下载:
  2. 用CORDIC算法,通过角度旋转,实现ATAN,最后得到角度和幅度-CORDIC algorithm, by the angle of rotation, ATAN and finally get the angle and amplitude
  3. 所属分类:MPI

    • 发布日期:2017-11-11
    • 文件大小:1444
    • 提供者:zxh
  1. CORDIC_ATAN

    1下载:
  2. FPGA实现反正切功能,工程原件,包括测试文件,能够很好实现该功能(FPGA implements arctangent function, original engineering)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-08-14
    • 文件大小:6552576
    • 提供者:TX_77846
搜珍网 www.dssz.com