CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter

搜索资源列表

  1. counter

    0下载:
  2. Counter in Matlab we can create a counter on matlab with this program
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:1423
    • 提供者:chris
  1. counter

    1下载:
  2. 关于FPGA实现的几种计数器的verilog源程序-FPGA implementation of several counter verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1848
    • 提供者:王腾
  1. counter

    0下载:
  2. 6位数显频率计数器的proteus仿真电路及C语言程序设计,单片机仿真实例-6-digit frequency counter of proteus was circuit simulation and C language programming, microcontroller simulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:46654
    • 提供者:常余
  1. counter

    0下载:
  2. 相信很多人都可以做得一个计数器的程序,不论是用C#、VB、VC++等编程工具。在这里我所做的这个计数器是使用C#做的,效果可能可其他人所做的不一样。它主要的目的是通过等额还贷对买房子的客户分期付款的金额和要多少年限才能还清钱进行计算。-I believe many people could do a counter procedures, whether they are using C#, VB, VC++ And other programming tools. I have done he
  3. 所属分类:C#编程

    • 发布日期:2014-03-21
    • 文件大小:42910
    • 提供者:longlong
  1. counter

    0下载:
  2. 简单的Javascr ipt计数器,适合新手学习。-Simple Javascr ipt counter, suitable for novice study.
  3. 所属分类:JavaScript

    • 发布日期:2017-03-28
    • 文件大小:1465
    • 提供者:cyrus
  1. counter

    2下载:
  2. 详细描述n比特计数器及RTL验证,计数器的位宽用generic语句设置为参数。MY_CNTR是一个n比特二进制的计数器,可以向上向下计数,并可设置计数值,计数器用异步的方式进行低电平复-A detailed descr iption of n-bit counter and RTL verification, the bit counter is set to use generic parameters statement. MY_CNTR is an n-bit binary counter
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:10311
    • 提供者:chixiaobin
  1. Counter-Strike-1.6-patch36_to_protocol48

    0下载:
  2. Counter-Strike-1.6-patch v 36 to protocol v48
  3. 所属分类:Game Engine

    • 发布日期:2017-11-05
    • 文件大小:48020972
    • 提供者:gm5321
  1. counter

    0下载:
  2. ASP编写的网页计数器,用于计算访客数量-ASP pages to prepare counter, used to calculate the number of visitors
  3. 所属分类:Browser Client

    • 发布日期:2017-03-24
    • 文件大小:1113
    • 提供者:lei
  1. counter

    0下载:
  2. 计数器,显示结果在4个数码管上。!~! 计数器,显示结果在4个数码管上。!~!-COUNTER
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:746001
    • 提供者:WangJQ
  1. Counter

    0下载:
  2. This the counter program for counting the input frequency.-This is the counter program for counting the input frequency.
  3. 所属分类:File Formats

    • 发布日期:2017-03-23
    • 文件大小:808
    • 提供者:siva
  1. counter

    0下载:
  2. 适用于FPGA Xilinx开发板的Counter程序,计数从0到9999,在板上用4位7段数码管显示,可实现双向计数。-Applicable to FPGA Xilinx development board of the Counter procedures, counting from 0 to 9999, in the board with four 7 digital display, enabling two-way counts.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:130640
    • 提供者:flyingwings
  1. frequency-counter-pic

    0下载:
  2. C51 designed using the frequency counter, it will automatically switch range, there are testing the data source
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:16221
    • 提供者:Mile
  1. counter

    0下载:
  2. 用verilog写的计数器,可用于分频等多种功能。已经调试成功很好用-Written with verilog counter, can be used for frequency and other functions. Has been very good success with debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2398
    • 提供者:tangxiaolei
  1. counter

    0下载:
  2. PIC industrial counter with LCD
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:30386
    • 提供者:aticska
  1. Frequency-Counter

    0下载:
  2. rpm FREQUENCY COUNTER
  3. 所属分类:File Formats

    • 发布日期:2017-03-25
    • 文件大小:288168
    • 提供者:misha
  1. counter

    0下载:
  2. 用VHDL语言编写COUNTER-FPGA VHDL COUNTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:114065
    • 提供者:CG
  1. counter

    0下载:
  2. -- Mod-16 Counter using JK Flip-flops -- Structural descr iption of a 4-bit binary counter. -- The first two design entities describe a JK flip-flop and a 2-input AND gate respectively. -- These are then packaged together along with a signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1214
    • 提供者:jgc
  1. Automatic-Coin-Counter-Matlab-Code

    0下载:
  2. Automatic Coin Counter Matlab Code
  3. 所属分类:matlab

    • 发布日期:2017-03-22
    • 文件大小:21128
    • 提供者:mani
  1. led on off-waiting counter

    0下载:
  2. led on off with waiting counter
  3. 所属分类:微处理器开发

    • 发布日期:2017-12-24
    • 文件大小:418816
    • 提供者:Peku
  1. counter

    0下载:
  2. Counter example for FPGA with VHDL
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:10240
    • 提供者:arza
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com