CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld can

搜索资源列表

  1. LG-CPLD

    1下载:
  2. 学习单片机、CPLD其实关键是实践,从51入门是个好选择,但不要停留在起点,学单片机方法得当是瞬间的事!但用好,就不好说了,一辈子都要努力随着产品控制技术的进步,CPLD与单片机的联系越来越密切,学会灵活应用cpld已经作为我们工程技术人 员的基本要求,抓紧时间学习吧,面对复杂的任务您就能应对自如,您的未来将更美好。 我们推荐这款实验CPLD+51MCU学习板,主要特色是集成了具有ISP功能的CPLD和Flash单片机,可以单独完成单片机和CPLD的实验,也可以通过跳线把单片机和CPLD联合起来
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1024660
    • 提供者:xcs
  1. PC104-CPLD-SPI

    1下载:
  2. VxWorks下PC104-CAN驱动程序设计,系统的基本功能是通过CPLD 来实现PC/104 总线SPI 总线的数据交换
  3. 所属分类:VxWorks

    • 发布日期:2008-10-13
    • 文件大小:142173
    • 提供者:pangbai
  1. cpld

    0下载:
  2. 一个关于4CAN卡的硬件程序,用VHDL编写.就是4路CAN总线
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:624533
    • 提供者:
  1. MICO8_DEMO_03_18_08.ZIP

    0下载:
  2. Lattice 超精简8位软核CPU--Mico8,开放所有源代码,包括VHDL,编译器,支持GCC编译器。可在Lattice所有FPGA和MachXO 器件上使用。本例包含示例和说明文档。对使用Lattice器件的用户或者学习CPU设计的人员有较高参考价值。,Lattice super-streamlined eight soft-core CPU- Mico8, open up all the source code, including VHDL, the compiler to supp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3317592
    • 提供者:ymjcloud
  1. altera_avr_down.rar

    0下载:
  2. 可用于ALTERA 的CPLD和AVR 单片机的并口下载器的电路原理图和PCB图(PROTEL DXP),经实验可直接使用。,ALTERA the CPLD can be used and the AVR MCU' s parallel port to download schematic and PCB circuit diagram, can be directly used by the experiment.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:63015
    • 提供者:赵赵
  1. TI_DSP_USB2_XDS510_Emulator.ra

    1下载:
  2. 内容包括: 仿真器原理图.rar CPLD_XDS510的源码.rar 24C01配置文件.rar XDS510 Windows驱动程序 详细制作过程说明文档 简介:介绍了基于USB2.0接口的DSP仿真器的研制方法。采用该方法,只需要设计出DSP仿真器的硬件系统和CPLD程序,USB驱动程序的设计采用TI公司提供的源程序,使得仿真器的研制十分简单易行。该仿真器通过实际产品测试,性能可靠。广大的DSP开发者可以使用本文提供的方法制作仿真器。 ,Include: schemati
  3. 所属分类:DSP编程

    • 发布日期:2017-04-01
    • 文件大小:257885
    • 提供者:KC Chen
  1. music

    0下载:
  2. 设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:8680
    • 提供者:lijq
  1. TFTLCD

    1下载:
  2. 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-06
    • 文件大小:1024
    • 提供者:willam
  1. DM642-P4

    1下载:
  2. DM642原理图,4个TVP5150输入,1个SAA7105输出,cpld为3128,非常好的开发DM642参考图,pdf文档可以搜索。-DM642 schematics, four TVP5150 input, a SAA7105 output, cpld for 3128, very well developed DM642 reference map, pdf documents can search.
  3. 所属分类:DSP编程

    • 发布日期:2012-10-30
    • 文件大小:317961
    • 提供者:skyy
  1. serial

    0下载:
  2. -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在 --PC机上安装一个串口调试工具来验证程序的功能。 -- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 --制器,10个bit是1位起始位,8个数据位,1个结束 --位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 --现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:65639
    • 提供者:johnson
  1. FPGA_common_idea

    1下载:
  2. 本文讨论的四种常用FPGA/CPLD 设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD 逻辑设计的内在规律的体现,合理地采用这些设计思想能在FPGA/CPLD 设计工作种取得事半功倍的效果。-This article discusses the four commonly used FPGA/CPLD design ideas and techniques: ping-pong operation, strings, and conversion, pipe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-22
    • 文件大小:114618
    • 提供者:hwei
  1. CPLD-CRACK-SIEMENS-200PLC

    0下载:
  2. 可用来破解分析西门子200 PLC与模块的通讯协议,基于ALTERA CPLD EPM240的设计. 需要配合分析板配套使用。-Analysis can be used to crack the Siemens 200 PLC and the communication protocol modules, based on the ALTERA CPLD EPM240 design. The need to tie in with the analysis supporting the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1827
    • 提供者:wuzhen
  1. CPLD-timer

    0下载:
  2. 本文介绍一种以CPLD[1]为核心、以VHDL[2]为开发工具的时间控制器,该控制器不仅具有时间功能,而且具有定时器功能,能在00:00~23:59之间任意设定开启时间和关闭时间,其设置方便、灵活,广泛应用于路灯、广告灯箱、霓虹灯等处的定时控制。-This article describes a CPLD [1] as the core, VHDL [2] for the development of tools for time controller that features not onl
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:219723
    • 提供者:江俊
  1. cpld-ppt

    0下载:
  2. CPLD入门知识,老师的课件!希望可以对大家有所帮助。-CPLD Starter knowledge, the teacher s courseware! I hope we can be helpful.
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1068591
    • 提供者:翟进乾
  1. uartverilog

    0下载:
  2. 实现cpld和pc机之间的串口通信,PC机传送到CPLD的信息,CPLD传回到PC机-Via verilog language ,cpld can communcate with pc.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:4513
    • 提供者:朱特
  1. CPLD

    0下载:
  2. PLD编程笔记与补充 学习PLD的可以看看!共3个文件!-PLD programming notes and a supplementary study PLD that can take a look! A total of 3 files!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:891386
    • 提供者:LHT
  1. cpld-pci

    0下载:
  2. 使用 cpld实现pci的功能,思路较为简单方面,希望大家可以测试一下-Use cpld achieve pci functions, a relatively simple idea, the hope that we can test
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1008
    • 提供者:tiantian
  1. CPLD

    0下载:
  2. CPLD + CAN总线改造,采用CPLD 进行编程,实现移相编码和电机功率输出, CAN总线进行数据通讯,使各节点独立工作又集中管理,实现集散控制。节点电机调速方案中,微控制器选用8位高性能微转换器ADμC812,逻辑与伺服控制采用全数字化方式,晶闸管主电路触发器选用ALTERA公司的 EPM7256S CPLD来完成。-CPLD+ CAN bus transformation, using CPLD for programming, coding and phase motor power
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:67969
    • 提供者:李飞
  1. CPLD

    0下载:
  2. CPLD的介绍,请认真看,很基础,可以打印出来(CPLD introduction, please look carefully, very basic, you can print out)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:139264
    • 提供者:lijunpeng0390
  1. CPLD

    0下载:
  2. The output frequency requirements for the three waveforms are: the frequency range is adjustable between 20Hz-20kHz; the phase difference between the three waveforms is 120 degrees. A. of sine wave signal: step 10Hz; frequency stability: better than
  3. 所属分类:其他

« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com