CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld flash

搜索资源列表

  1. MVHDL

    0下载:
  2. 本程式為並列flash ROM之控制程式, 可將flash rom的資料讀出後, 經過CPLD controller將圖檔轉成VESA影像訊號, 輸出至螢幕, 本程式已經過硬體驗證-the parallel program for controlling flash ROM programs, rom flash can be read out information, After drawing CPLD controller will turn into VESA video signal
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4978192
    • 提供者:明華
  1. LG-CPLD

    1下载:
  2. 学习单片机、CPLD其实关键是实践,从51入门是个好选择,但不要停留在起点,学单片机方法得当是瞬间的事!但用好,就不好说了,一辈子都要努力随着产品控制技术的进步,CPLD与单片机的联系越来越密切,学会灵活应用cpld已经作为我们工程技术人 员的基本要求,抓紧时间学习吧,面对复杂的任务您就能应对自如,您的未来将更美好。 我们推荐这款实验CPLD+51MCU学习板,主要特色是集成了具有ISP功能的CPLD和Flash单片机,可以单独完成单片机和CPLD的实验,也可以通过跳线把单片机和CPLD联合起来
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1024660
    • 提供者:xcs
  1. PSD4256G_ConfigFiles

    0下载:
  2. PSD4256G flash inside cpld example code
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:29461
    • 提供者:zhj
  1. xapp354_vhdl

    0下载:
  2. 用CPLD实现NAND FLASH接口的VHDL源码
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:871768
    • 提供者:xillinx
  1. solution1324

    1下载:
  2. SX-CPLD/FPGA 数字逻辑电路设计实验仪 SX-CPLD/FPGA 数字逻辑电路设计实验仪 产品介绍 1.利用CPLD/FPGA 提供的软硬件开发环境学习最新逻辑IC 设计,以取代TTL/CMOS 复杂的硬件设计。 2.可使用电路绘图法、ABEL 语言、波形图和数字硬件描述语言法(VHDL/AHDL)来开发电路。 3.CPLD/ FPGA 提供引脚可任意设定,故作测试实验时不需要做硬件连接,可节省大量连线焊接时间,快速学习软硬
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:171215
    • 提供者:vobno
  1. MAXII_application_handbook(chinese)

    0下载:
  2. MAX II CPLD具有灵活的可编程接口,合并了分立的FLASH存储器件,能快速和容易地配置FPGA,DSP,ASIC等。本中文手册将让用户对CPLD有一个宏观的认识。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:990408
    • 提供者:pantree
  1. ug_alt_ufm.rar

    0下载:
  2. ALTERA公司的MAXⅡ系列CPLD的内部flash使用教程,内容很详细,图文并茂,英文版。,ALTERA s MAX Ⅱ series CPLD to use the internal flash tutorial is very detailed, with illustrations in English.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:849305
    • 提供者:blur
  1. CPLD_Config

    1下载:
  2. 用Altera CPLD做为控制器从Flash上读取image文件对Altera FPGA编程-Altera CPLD used as a controller to read image from the Flash on the Altera FPGA programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3900
    • 提供者:jwq
  1. flash_loader_II_for_2c20

    0下载:
  2. FLASH_LOADERII是cpld配置fpga的程序。运行在quartus60环境下。-Fpga configuration FLASH_LOADERII is cpld procedures. Run on quartus60 environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:874617
    • 提供者:刘飞
  1. Flash_FPAG_JTAG

    0下载:
  2. FPGA或者CPLD通过JTAG接口对FLASH进行读写的资料。非常有用-Programming Flash Memory from FPAGs and CPLDs Using the JTAG Port. Very useful
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:304923
    • 提供者:superstar
  1. NANDInterface

    0下载:
  2. Xinlix CoolRunner-II cpld实现的nand FLASH接口-Xinlix CoolRunner-II cpld implementation nand FLASH Interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:870295
    • 提供者:雷虎
  1. CPLD_V105

    1下载:
  2. epm240系列cpld的配置文件,实现cpld对flash,uart和sdram的控制等-epm240 series cpld profile, to achieve cpld on the flash, uart and the sdram of the control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:309652
    • 提供者:张枫
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_Ex

    0下载:
  2. 来自于ALTERA官方网站。 本文档详细介绍怎样利用MAX® II CPLD 来实现脉冲宽度调制(PWM)。本设计还利用了MAX II CPLD 的内部用户闪存振荡器,不需要采用专门的外部时钟。 附有verilog源程序。-From ALTERA website. This document details how to use the MAX ® II CPLD to implement pulse width modulation (PWM). This design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:291381
    • 提供者:无小品
  1. timer0_2

    0下载:
  2. CPLD+MCU电压采集系统 测试程序+原理图\测试程序\定时器\用定时器T0方式1控制LED闪烁-CPLD+ MCU voltage acquisition system Schematic test procedure+ \ Test Programs \ timer \ timer T0 Mode 1 control with LED flash
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:654
    • 提供者:cumtstone
  1. Code

    0下载:
  2. 设计一个正弦信号发生器,使用凌阳公司的16位单片机SPCE061A作为中央控制器,结合DDS芯片AD9850,产生0~15MHz频率可调的正弦信号,正弦信号频率设定值可断电保存;使用宽频放大技术,在50Ω负载电阻上使1K~10MHz范围内的正弦信号输出电压幅度VP-P=6V±1V;产生载波频率可设定的FM和AM信号;调制信号为1KHz的正弦波,调制信号的产生采用DDS技术,由CPLD和Flash ROM加上DAC进行直接数字合成;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:29227
    • 提供者:王金
  1. flvtobin

    0下载:
  2. 为了写一个把flash转换成bin或dat格式的数据流文件 放入sd卡中。 再用单片机取出放入sram中供cpld取出发送到显示屏中 抓取一张图片的流程-transform flash file to bin and dat file ,and save it into SD card and read it from mcu and save it o sram
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1966103
    • 提供者:华晓明
  1. EPM240ZT100

    0下载:
  2. The MAX II CPLD has the following features: ■ Low-cost, low-power CPLD ■ Instant-on, non-volatile architecture ■ Standby current as low as 29 μA ■ Provides fast propagation delay and clock-to-output times ■ Provides four global clocks with
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:612379
    • 提供者:王广龙
  1. int_osc

    0下载:
  2. CPLD的内部振荡器的应用,内部振荡器是位于用户闪存模块中的 4.4-MHz( 典型输出 ) 时钟源。采用内部振荡器不但减少了元件数量,而且还能够降低系统功耗。-The application of the internal CPLD oscillator, internal oscillator is located in flash memory module of the user 4.4 MHz (typical output) clock source the inside oscil
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1342
    • 提供者:王煦
  1. FFlash_FPAG_JP

    0下载:
  2. FPGA或者CPLD通过JTAG接口口对FLASH进行读写的资料。非常有用 -FPGA or CPLD through the JTAG interface port on the FLASH read and write information. Very useful
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:305009
    • 提供者:lihoulian
  1. 用CPLD和Flash实现FPGA配置

    0下载:
  2. 通过CPLD和外部Flash芯片对FPGA进行配置。(By CPLD and external Flash chip of FPGA configuration.)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-02
    • 文件大小:82944
    • 提供者:xiaohu111
« 12 »
搜珍网 www.dssz.com