CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - crc 16 vhdl

搜索资源列表

  1. crc.zip CRC校验程序

    2下载:
  2. CRC校验程序,使用了CRC-16和CRC-CCITT方法 ,CRC inspection program, which use crc-16 and crc-ccitt method
  3. 所属分类:加密解密

    • 发布日期:2016-01-25
    • 文件大小:1311
    • 提供者:
  1. trunk-hdlc.rar

    1下载:
  2. 高级链路层协议的实现,vhdl,fpga,- 8 bit parallel backend interface - use external RX and TX clocks - Start and end of frame pattern generation - Start and end of frame pattern checking - Idle pattern generation and detection (all ones) - Idle pattern
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:188322
    • 提供者:whs
  1. crc_check

    0下载:
  2. CRC校验,包括crc8_4、crc12_4、crc16_8、crc32_8-CRC checksum, including crc8_4, crc12_4, crc16_8, crc32_8
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:4346
    • 提供者:wl
  1. crcm

    0下载:
  2. crc 校验,vhdl源码,经仿真能正常运行,供大家参考-CRC checksum, vhdl source, the simulation can be normal operation, for your reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1023
    • 提供者:fangliang
  1. CRC16bits

    0下载:
  2. 16bit crc encoder ande demo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:167769
    • 提供者:chen
  1. crc16

    0下载:
  2. 16bit CRC for 8bits data
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-11
    • 文件大小:870
    • 提供者:苗淼
  1. pcie_vera_tb_latest.tar

    0下载:
  2. FEATURES • 16 bit PIPE Spec PCI Express Testbench • Link training • Initial Flow Control • Packet Classes for easy to build PHY,DLLP and TLP packets • DLLP 16 bit CRC and TLP LCRC generation • Sequence Number
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:170066
    • 提供者:Arun
  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
  1. crc

    0下载:
  2. CRC-16 VHDL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-06
    • 文件大小:164567
    • 提供者:kobin
  1. crc_verilog_xilinx

    1下载:
  2. CRC,对于研究通信的有重要意义.利用VERILOG实现8位,16位等CRC原理,-CRC, the study of communication are important. VERILOG to achieve the use of 8, 16, such as CRC principle,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:10701
    • 提供者:
  1. CRC_16

    0下载:
  2. crc16的串行和并行写法,而且有详细的测试文件-Serial and parallel crc16 written, and detailed test documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:20118
    • 提供者:Jammy
  1. RFC_1622_CRC16_m

    1下载:
  2. RFC1662 CRC-16 table generation and CRC checking. Implemented in embedded matlab with scr ipt to test and enable c/c++ code generation. Useful fo check against VHDL/Verilog and other embedded systems to help generate test vectors.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1132
    • 提供者:spaander
  1. Crc_Parallel

    0下载:
  2. CCITT Parallel CRC 16-bit
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-31
    • 文件大小:726
    • 提供者:timngo
  1. crcvhdl

    0下载:
  2. crc-vhdl冗余码的vhdl源码,这是16位的crc-crc-vhdl vhdl source code redundancy, which is 16-bit crc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:303543
    • 提供者:姚一一
  1. PCK_CRC16_D1

    0下载:
  2. CRC源代码,VHDL文件,可供参考,16位的-CRC source code, VHDL files, for reference, 16-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:829
    • 提供者:ly
  1. hdlc_rs

    0下载:
  2. 一种带有CRC校验、一次可连续发送1-15块16字节数据、带有曼彻斯特码的hdlc收发程序,在Altera中仿真并在实际芯片中试验过的程序-One kind with a CRC check, send a continuous block of 16 bytes of data 1-15, with Manchester' s hdlc receive procedures in the Altera chip simulation and tested in the actual pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:6473
    • 提供者:周宽裕
  1. PCK_CRC3_D4

    0下载:
  2. CRC校验码生存程序 校验序列码生成多项式: X16+X13+X12+X11+X10+X8+X6+X5+X2+1 输入数据为16个字节(128位),输出16bit校验序列-CRC, the survival program check sequence code generator polynomial: X16+ X13+ X12+ X11+ X10+ X8+ X6+ X5+ X2+1 input data is 16 bytes (128 bits), output 16bit
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-23
    • 文件大小:800
    • 提供者:weixin
  1. CRC16-0_5_12_16

    0下载:
  2. 包含16位CRC的并行实现和串行实现,并有测试程序。-Includes 16-bit CRC of the parallel and serial implementation to achieve, and test procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2910
    • 提供者:程显雯
  1. MYCRC

    0下载:
  2. 由于altera公司的CRC生成和校验模块不支持本系统使用的Cyclone IV E系列FPGA,因此本文独立设计了CRC模块。该模块的接口与altera公司的CRC模块接口基本一致,能够对16位输入的数据流进行CRC校验码生成和校验。本文采用CRC-CCITT生成项,其表达式为:X16+X12+X5+X0。本模块需要startp信号及endp信号指示数据传输的起始及结束。本模块采用状态机设计,对于数据头和数据尾分别由不同的状态来处理。在本模块中,使用了for循环,这会消耗较多的FPGA资源,但
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4311
    • 提供者:陈建
  1. CRC-Parallel-Computation

    0下载:
  2. 用软件实现CRC校验码计算很难满足高速数据通信的要求, 基于硬件的实现方法中, 有串行经典算法LFSR,电路以及由软件算法推导出来的其它各种并行计算方法。以经典的LFSR,电路为基础, 研究了按字节并行计算CRC校验码的原理.-Implemented in software CRC checksum calculation is difficult to meet the requirements of high-speed data communications, hardware-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:205611
    • 提供者:Geer
« 12 »
搜珍网 www.dssz.com