CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - crc VHDL Verilog

搜索资源列表

  1. crc上传程序

    1下载:
  2. 写CRC编解码程序时,整理的文件,压缩文件既有理论说明,也有源代码。源代码格式用C,VHDL,Verilog。-write CRC codec procedures, collating documents, compressed files both theoretical statements, and the active code. Source code format C, VHDL, Verilog.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:706776
    • 提供者:cdl
  1. crc_32_16

    0下载:
  2. crc校验功能,用硬件语言实现,vhdl或者verilog实现。逻辑功能。-crc check function, hardware language, verilog or vhdl achieve. Logic function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:297370
    • 提供者:likj
  1. ethernet.tar

    0下载:
  2. 以太网的vhdl和verilog代码,供大家学习-Ethernet VHDL and Verilog code for everyone to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:934781
    • 提供者:sunlee
  1. HDLC

    2下载:
  2. verilog HDL语言编写的HDLC协议的IP核,包括通讯控制及CRC。-written in verilog HDL HDLC protocol IP core, including communications control and CRC.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:69678
    • 提供者:王强
  1. crc_check

    0下载:
  2. CRC校验,包括crc8_4、crc12_4、crc16_8、crc32_8-CRC checksum, including crc8_4, crc12_4, crc16_8, crc32_8
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:4346
    • 提供者:wl
  1. crc

    0下载:
  2. 自己写的循环冗余校验,进行了仿真,整个工程都在!-Wrote it myself, cyclic redundancy check carried out a simulation, the whole project are in!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:435729
    • 提供者:
  1. crc16

    0下载:
  2. 16bit CRC for 8bits data
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-11
    • 文件大小:870
    • 提供者:苗淼
  1. crc

    0下载:
  2. CRC校验码的实现,校验码6位,寄存器串行实现方式,经项目实际验证正确-CRC Check Code realization Check 6, register serial ways, the right to verify the actual project
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-06
    • 文件大小:513
    • 提供者:fang
  1. CRC

    0下载:
  2. CRC和线性码程序 可能对初级学习有用 希望能够好好利用-CRC
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:31208
    • 提供者:黄金刚
  1. crc

    0下载:
  2. CRC-16 VHDL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-06
    • 文件大小:164567
    • 提供者:kobin
  1. crc_verilog_xilinx

    1下载:
  2. CRC,对于研究通信的有重要意义.利用VERILOG实现8位,16位等CRC原理,-CRC, the study of communication are important. VERILOG to achieve the use of 8, 16, such as CRC principle,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:10701
    • 提供者:
  1. RFC_1622_CRC16_m

    1下载:
  2. RFC1662 CRC-16 table generation and CRC checking. Implemented in embedded matlab with scr ipt to test and enable c/c++ code generation. Useful fo check against VHDL/Verilog and other embedded systems to help generate test vectors.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1132
    • 提供者:spaander
  1. CRC_outputlogic

    0下载:
  2. custom crc generater(verilog/vhdl)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61127
    • 提供者:li.yx
  1. crc_explain

    0下载:
  2. 循环冗余校验 CRC 的算法分析和程序实现。通信的目的是要把信息及时可靠地传送给对方,因此要求一个通信系统传输消息必须可靠与快速,在数字通信系统中可靠与快速往往是一对矛盾。为了解决可靠性,通信系统都采用了差错控制。本文详细介绍了循环冗余校验CRC(Cyclic Redundancy Check)的差错控制原理及其算法实现-Cyclic Redundancy Check
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:106330
    • 提供者:朱红
  1. crc32_4

    1下载:
  2. 实现了crc功能的verilog源程序。可以综合。-verilog code for crc
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-08
    • 文件大小:1291
    • 提供者:tree
  1. crc-gen

    0下载:
  2. CRC Generator is a command-line application that generates Verilog or VHDL code for CRC of any data width between 1 and 1024 and polynomial width between 1 and 1024. The code is written in C and is cross-platform compatible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:60012
    • 提供者:badfox
  1. CRC-Generator-for-Verilog-or-VHDL

    0下载:
  2. CRC Generator for Verilog or VHDL-CRC Generator for Verilog or VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2694
    • 提供者:wz
  1. crc

    0下载:
  2. For implementing the CRC in verilog or VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:100500
    • 提供者:test
搜珍网 www.dssz.com