CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - des encryption vhdl

搜索资源列表

  1. DES_16keys用VC生成DES加解密算法的16轮密钥

    0下载:
  2. 用VC生成DES加解密算法的16轮密钥, 可直接用于编写DES的VHDL的密钥生成模块 -Generated using DES encryption and decryption algorithm VC 16-round keys can be directly used to write the VHDL DES key generation module
  3. 所属分类:加密解密

    • 发布日期:2017-03-23
    • 文件大小:1379
    • 提供者:zhuangyan
  1. DES.zip

    0下载:
  2. DES 加密算法的实现,使用硬件描述语言VHDL编写,DES encryption algorithm realization, uses hardware descr iption language VHDL to compile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:24153
    • 提供者:zfhustb
  1. FPGA

    1下载:
  2. 此课件是基于FPGA的加密芯片设计实例,DES的FPGA实现,包括DES加密算法简述,DES的伪代码描述,设计流程,运算电路模型设计,算法程序设计 -The courseware is based on the FPGA chip design example of encryption, DES for FPGA implementation, including the DES encryption algorithm briefly, DES pseudo-code descr ipt
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-06-22
    • 文件大小:3852564
    • 提供者:betty
  1. DES_Verilog

    0下载:
  2. 这是我用Verilog写的DES加解密程序,准确的说这是一份实验报告,里面不但有程序还有简单的注释[主要是针对仿真的波形的],我主要写的是主控部分,密钥生成部分参考了下版原康宏的程序.该程序即可加密也可解密,选用CycloneII器件即能跑到100Mhz以上.-This is what I used to write Verilog the DES encryption and decryption procedures, accurate to say that this is a test
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:297120
    • 提供者:jesse
  1. DES101

    0下载:
  2. 数据加密算法(Data Encryption Algorithm,DEA)的数据加密标准(Data Encryption Standard,DES)是规范的描述,它出自 IBM 的研究工作,并在 1997 年被美国政府正式采纳。它很可能是使用最广泛的秘钥系统,特别是在保护金融数据的安全中,最初开发的 DES 是嵌入硬 件中的。通常,自动取款机(Automated Teller Machine,ATM)都使用 DES。文件是DES代码的VHDL描述 -Data encryption algor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:677515
    • 提供者:
  1. DESHTM

    0下载:
  2. 用VHDL语言实现了DES加密算法,其中包含了测试程序,能够进行仿真。-Using VHDL language implementation of the DES encryption algorithm, which contains the test procedures can be simulated.
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:9449
    • 提供者:心飞扬
  1. DES

    0下载:
  2. DES加密算法的VHDL实现,采用流水线技术实现-The VHDL implement of DES encrypt algorithmic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17718704
    • 提供者:Mr Yang
  1. t3_enc

    0下载:
  2. triple des encryption decryption
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-25
    • 文件大小:8426298
    • 提供者:mohamed
  1. tripledes

    0下载:
  2. 3-DES加密IP核VHDL源码,3次DES流水执行-VHDL source code for 3-DES encryption IP core, pipelined execution
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-31
    • 文件大小:32250
    • 提供者:Yan, Like
  1. des_Vhdl

    0下载:
  2. VHDL & Verilog Synthesizable model of the Data Encryption Standard (DES)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:46980
    • 提供者:changjc
  1. DESCryptographicAlgorithm

    0下载:
  2. des加密算法,用于IP通讯方面的,用VHDL写成的源程序-des encryption algorithm used for IP communications.the source codes are written in VHDL
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-24
    • 文件大小:28513
    • 提供者:wy
  1. DES

    0下载:
  2. This is verilog source code for DES(Data Encryption standard) which is used in network security.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:20160
    • 提供者:Krupesh
  1. DES-HDL

    0下载:
  2. 用HDL实现的DES加密算法,通过前仿真,希望对大家有帮助-HDL implementation of the DES with the encryption algorithm, by pre-simulation, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27714
    • 提供者:su
  1. serial_parallel_lib

    0下载:
  2. des encryption with vhdl
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:292666
    • 提供者:samo
  1. DESsuanfa

    0下载:
  2. DES的加解密算法的实现,无错,非常适合毕业设计运用-DES encryption and decryption algorithm, error-free
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-03
    • 文件大小:12828
    • 提供者:longli
  1. topic

    0下载:
  2. DES加密算法的VHDL和VERILOG源程序- Xilinx开源共享61EDA代码工厂-DES encryption algorithm of VHDL and VERILOG source code- Xilinx factory open source code sharing 61EDA
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:274592
    • 提供者:renkaiqiang
  1. des1

    0下载:
  2. 从万方数据库中下的介绍des加密以及解密的两片文章,是用FPGA实现的,pdf格式.希望对理解des加密以及解密的原理有所帮助。 -From the descr iption of the database under the des encryption and decryption of the two articles is the use of FPGA implementation, pdf format. Hope to understand the principles of
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:277676
    • 提供者:chengpan
  1. Description-of-DES-with-VHDL

    0下载:
  2. 用VHDL描述DES算法 用硬件的方式DES加解密 体现了硬件编程人一般思想-DES algorithm using VHDL descr iption of the way with hardware DES encryption and decryption hardware programming reflects the general thinking of people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13888
    • 提供者:lichen
  1. DES

    1下载:
  2. 一种基于VHDL的DES加密实现方法,经过实际验证可以运行-A VHDL-based DES encryption method, you can run after the actual verification
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:11136
    • 提供者:邱世中
  1. DES-S

    0下载:
  2. des加密算法在MATLAB中,通过VHDL语言的实现-des encryption algorithm in MATLAB, through the realization of VHDL language
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:225976
    • 提供者:renjinjun
« 12 »
搜珍网 www.dssz.com