CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dff

搜索资源列表

  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. fdfd

    0下载:
  2. dff有关二次开发的问题-ctlibs the secondary development issues
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:83541
    • 提供者:张明
  1. dff

    0下载:
  2. DSP 应用编程 快速变换的C语言编程,好的历程.
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:18009
    • 提供者:张光山
  1. dff-000

    0下载:
  2. JAVA游戏毕业设计毕业论文 适合本科专科计算机专业毕业设计参考
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:530571
    • 提供者:ycy
  1. a VHDL Compiler

    0下载:
  2. 这是一个VHDL(硬件描述语言)的编译器,更确切说是一个解释器,输入是VHDL语言,输出是经过提到后的符号表,也就是将VHDL中的重要变量比如输入输出变量和DFF等保存下来。-This is a VHDL (hardware descr iption language) compiler, more precise explanation is a device that is VHDL input, output was mentioned after the symbol table to
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:118091
    • 提供者:gepo
  1. GERBTOOL指令教程

    0下载:
  2. 在PCB行业里,对上市时间、品质及降低成本等等有越来越大的各种要求及压力!因此,今天无论你是一个设计者或是板厂制造人员都非常需要一套简单易用的软件产品让您在产品投产前先做检测或是依照生产规格做更细的检查动作。 GerbTool是一套PCB CAM的编辑和分析软件包,从基础的载图查询到强大的DRC检查和DFM分析,提供您制造出高品质电路版所需的各种功能,并能加快产品上市的时程,正如Gerbtool 的产品名称,他可以处理传统的GERBER档作为跟板厂间的传递格式。但是别忘记为了要能配合新的流
  3. 所属分类:源码下载

    • 发布日期:2011-07-01
    • 文件大小:1686651
    • 提供者:sxllpl
  1. dff

    0下载:
  2. 用vhdl编写的D触发器,锁存器等,不需帐号就可自由下载此源码-VHDL prepared using D flip-flops, latches and so on, no account can be a free download this source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1068
    • 提供者:daniel
  1. booksearchView

    0下载:
  2. 该程序实现全国普通高校的图书馆的图书管理,非常的不错-DFF SDA FSDF AS FS FAS FGDFGDGFDGDFG FG DGDFG GF GDFG G DF DF GF DF
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:2434
    • 提供者:guansheng
  1. fi401

    0下载:
  2. hen NBBAFA DFA34F ADF DV DAF EW DFF AS-VERY NE AVADSDGFA FD FDAF EF A D FD A FASDFD AF D
  3. 所属分类:Compiler program

    • 发布日期:2017-04-25
    • 文件大小:259602
    • 提供者:俄3啊
  1. ShortestPathSource

    0下载:
  2. shortest path This is release 13 of the DFF Library - common units containing classes used in many programs throughout DelphiForFun.-shortest path This is release 13 of the DFF Library- common units containing classes used in many programs
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-07
    • 文件大小:7039
    • 提供者:Elena
  1. dff

    0下载:
  2. verilog, d-flipfliop, d-verilog, d-flipfliop, dff
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:526
    • 提供者:min
  1. DFF_counter

    0下载:
  2. DFF counter matlab source code
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:778
    • 提供者:PSH
  1. dff

    0下载:
  2. 关于DFF的FPGA实现,有VHDL源码-On the DFF of the FPGA implementation, there are VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:115238
    • 提供者:123
  1. DFF_using_BhvrlTech

    0下载:
  2. 数据选择器verilog源程序,此方法简单明了,容易实现-To Build a project with the Function of DFF---MC10131
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:648399
    • 提供者:chenming
  1. code

    0下载:
  2. 用dff方法实现二分频,行为描述实现二分频,二分频,投票代码,有限状态机-Dff method used to achieve two-way, behavioral descr iptions to achieve two-way, two-way, voting codes, finite state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1449
    • 提供者:deeemon
  1. DFF

    0下载:
  2. 一个可用的D触发器 里面还有波形 本人仿真过 可用-DFF
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:187007
    • 提供者:gcc
  1. dff

    0下载:
  2. D触发器,以模块输入形式,仿真得到时序图、功能图-The simulation example of dff
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:118752
    • 提供者:beginner
  1. DFF

    0下载:
  2. 基于传输门结构的D触发器的建立时间和保持时间测量-the measure of DFF s setup time and hold time
  3. 所属分类:Other systems

    • 发布日期:2016-01-25
    • 文件大小:19577856
    • 提供者:renxiaowei
  1. DFF

    0下载:
  2. a vhdl source code for dff
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:217088
    • 提供者:maleki
  1. DFF

    2下载:
  2. 用dff理论来获得图像的三维模型,先对光场图像进行重聚焦,进而生成深度图,再进行重建(DFF theory is used to obtain the 3D model of the image. Firstly, the light field image is re focused, then the depth map is generated, and then reconstructed)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:28549120
    • 提供者:mamamM
« 12 3 »
搜珍网 www.dssz.com