CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dff vhdl

搜索资源列表

  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. a VHDL Compiler

    0下载:
  2. 这是一个VHDL(硬件描述语言)的编译器,更确切说是一个解释器,输入是VHDL语言,输出是经过提到后的符号表,也就是将VHDL中的重要变量比如输入输出变量和DFF等保存下来。-This is a VHDL (hardware descr iption language) compiler, more precise explanation is a device that is VHDL input, output was mentioned after the symbol table to
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:118091
    • 提供者:gepo
  1. dff

    0下载:
  2. 用vhdl编写的D触发器,锁存器等,不需帐号就可自由下载此源码-VHDL prepared using D flip-flops, latches and so on, no account can be a free download this source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1068
    • 提供者:daniel
  1. dff

    0下载:
  2. 关于DFF的FPGA实现,有VHDL源码-On the DFF of the FPGA implementation, there are VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:115238
    • 提供者:123
  1. dff

    0下载:
  2. 用VHDL语言编写的带进位、置位、复位的D触发器,异步清零D触发器,同步清零D触发器-library ieee use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity exp7_10 is port( clk: in std_logic d: in std_logic clr: in std_logic en,s:in std_logic q: o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:716
    • 提供者:jly
  1. d_ff

    0下载:
  2. 带置位、清零使能的D触发器以及同步清零D触发器、异步清零D触发器-VHDL,DFF
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:717
    • 提供者:jly
  1. Dff

    0下载:
  2. D 触发器,数字电路中最基本的逻辑单元之一。很实用的程序例子-D flip-flop, one of the basic logics in the digital design, an instance of a Sequential VHDL codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:1227
    • 提供者:chenzhang
  1. DFF

    0下载:
  2. D触发器VHDL全代码,实验代码,已调试通过。D触发器VHDL全代码-D flip-flop full VHDL code, test code, debugging
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-16
    • 文件大小:221316
    • 提供者:cindy
  1. DFF

    0下载:
  2. D flip-flpo design using VHDL codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:122487
    • 提供者:thapaji
  1. DFF

    0下载:
  2. a vhdl source code for dff
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:217088
    • 提供者:maleki
搜珍网 www.dssz.com