CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fifo verilog modelsim

搜索资源列表

  1. FIFO_Syn

    0下载:
  2. 同步FIFO功能,verilog语言描述,通过了modelsim 6.0 仿真,Quartue综合
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26159
    • 提供者:shenyunfei
  1. 4VerilogFIFO

    0下载:
  2. 一种新的FIFO实现方法,verilog描述,通过modelsim 6.0 仿真,Quartue综合
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2793
    • 提供者:shenyunfei
  1. FIFO-DC

    0下载:
  2. FIFO的Verilog程序 已在modelsim中编译通过 并且可以通过DC进行综合
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60930
    • 提供者:liujl
  1. fifo

    3下载:
  2. 异步fifo,用Verilog编写,包含testbench,已经通过modelsim调试,内含文档和波形图-Asynchronous fifo, to prepare to use Verilog, including testbench, debug modelsim has passed, including documents and wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-16
    • 文件大小:41278
    • 提供者:iechshy1985
  1. FIFO

    0下载:
  2. it is a verilog code written for FIFO in modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].you can use this code in any DSP project in which data entry is required.-it is a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:31554
    • 提供者:yasir ateeq
  1. FIFO

    0下载:
  2. 将ROM的正弦波数据输入FIFO存储器,然后输出,有modelsim仿真波形-Verilog FIFO ROM mif sine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6605527
    • 提供者:xiadafang
  1. fifo2

    0下载:
  2. 异步fifo 先进先出 用于缓冲数据,用verilog HDL所写,在quartus II中测试通过,modelsim仿真-Asynchronous fifo FIFO for buffering data, using verilog HDL written in quartus II test through, modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3863
    • 提供者:T~T
  1. FIFO1

    0下载:
  2. 给出一个位宽16比特,深度为10的异步FIFO的设计,并要求给出空或满的指示信号。要求用Verilog HDL语言设计,并编写测试激励,以及用Modelsim进行功能仿真,验证设计正确性。10个16位的数据 (FIFO的宽度:也就是英文资料里常看到的THE  WIDTH,它指的是FIFO一次读写操作的数据位,就像MCU有8位和16位,ARM 32位等等。FIFO的深度:THE DEEPTH,它指的是FIFO可以存储多少个N位的数据(如果宽度为N)。如一个8位的FIFO,若深度为8,它可以
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:33310
    • 提供者:江燕子
  1. sobel

    1下载:
  2. 由Verilog编写在FPGA实现sobel算法应用于图像边缘检测,工程文件可在quartus13.1以上版本打开;工程使用到ram、fifo、pll三种ip核,design文件夹下包含ram、fifo、vga控制以及串口收发和sobel算法模块,sim和doc文件夹下分别包含modelsim的仿真模块和仿真结果;测试时将200*200分辨率的图片用matlab文件夹下的matlab脚本压缩、二值化,再将生成文件中数据用串口发给FPGA,边缘检测结果会通过VGA输出。(Written by Ve
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-14
    • 文件大小:10222592
    • 提供者:丶大娱乐家
搜珍网 www.dssz.com