CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fifo-ram

搜索资源列表

  1. ram

    0下载:
  2. 本原代码中利用VHDL语言编写了RAM、FIFO、ROM等常用的存储和缓冲部件,完全的代码在ALTERA的FPGA上已经通过仿真测试,保证可用.-primitive code using VHDL prepared RAM, FIFO, ROM, and other commonly used storage and buffer components, complete code in the Altera FPGA simulation test has been passed to ens
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2661
    • 提供者:nick
  1. fifo-ram

    0下载:
  2. 采用Verilog语言描述的FIFO和双端口RAM源代码。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2014-01-16
    • 文件大小:762
    • 提供者:蒋大为
  1. fifo

    0下载:
  2. FIFO电路(first in,first out),内部藏有16bit×16word的Dual port RAM,依次读出已经写入的数据。因为不存在Address输入,所以请自行设计内藏的读写指针。由FIFO电路输出的EF信号(表示RAM内部的数据为空)和FF信号(表示RAM内部的数据为满)来表示RAM内部的状态,并且控制FIFO的输入信号WEN(写使能)和REN(读使能)。以及为了更好得控制FIFO电路,AEF(表示RAM内部的数据即将空)信号也同时输出。
  3. 所属分类:压缩解压

    • 发布日期:2014-01-17
    • 文件大小:1376
    • 提供者:史先生
  1. fifo

    0下载:
  2. 用双端口ram实现异步fifo,采用格雷码,避免产生毛刺。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1105
    • 提供者:shili
  1. 同步FIFO设计

    2下载:
  2. 用16*8 RAM实现一个同步先进先出(FIFO)队列设计。由写使能端控制该数据流的写入FIFO,并由读使能控制FIFO中数据的读出。写入和读出的操作由时钟的上升沿触发。当FIFO的数据满和空的时候分别设置相应的高电平加以指示。
  3. 所属分类:VHDL编程

  1. ram_fifo_ram

    0下载:
  2. 程序实现了在FPGA内部开辟RAM+FIFO+RAM的IP核进行数据之间的调试。方便需要用到的童鞋进行参考。已通过modelsim调试-Implemented within the FPGA program to open up RAM+ FIFO+ RAM for data between the IP core debugging. Need to use the shoes for easy reference. Has passed debug modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8186110
    • 提供者:袁官福
  1. mem_ctrl_latest.tar

    0下载:
  2. 存储器控制FPGA程序,包括ram,fifo,sdram,flash等。-FPGA memory control processes, including ram, fifo, sdram, flash and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:331977
    • 提供者:zhangsan
  1. program

    0下载:
  2. 设计实现4bit FIFO, 数据深度为8, 产生满, 空状态标志-The diagram of FIFO is shown in figure 1. The FIFO consists of two component: FIFO control logic and RAM. The control logic generates the address (ADD) and write enable (WE) to the RAM so that the fi
  3. 所属分类:OS Develop

    • 发布日期:2017-03-28
    • 文件大小:3079
    • 提供者:shao
  1. fifo的vhdl原代码

    0下载:
  2. 本文为verilog的源代码-In this paper, the source code for Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:23147
    • 提供者:艾霞
  1. 13

    0下载:
  2. para13: fifo.vhd FIFO(双口RAM) fifo1.vhd FIFO(嵌入式EAB) fifo2.vhd FIFO(LPM)-para13: fifo.vhd FIFO (dual port RAM) fifo1.vhd FIFO (embedded EAB) fifo2.vhd FIFO (LPM)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3394
    • 提供者:libing
  1. FIFO

    0下载:
  2. FIFO中文应用笔记,对学习单片机RAM、大量数据处理很有帮助。-FIFO notes
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:1137101
    • 提供者:chenlei
  1. connect20090223

    0下载:
  2. fpga从FIFO读数据并上传到双口ram中。-FPGA read data from the FIFO and upload it to dual-port ram Medium.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:469003
    • 提供者:张菁
  1. ram

    0下载:
  2. a 16 by 4 ram is used for many applications as a basic component such as fifo and stack etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:639
    • 提供者:sri
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. FIFORAM

    0下载:
  2. FIFO RAM 存储器以FIFO形式进行的读取-FIFO RAM
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:331867
    • 提供者:SMILE
  1. fifo_test

    0下载:
  2. FIFO读写verilog程序,经本人验证,能够顺利运行。实现FPGA对fifo的控制。-the example of writing and reading the fifo ram of the fpag,i have already tested it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1857
    • 提供者:saul
  1. maxii_sch

    0下载:
  2. 采用EPM570作为核心,外接FIFO,RAM。可进行数据采集,采用60M时钟的ADC ADS830E。ADC前端电路需要改为差分输入方式以减小电路噪声。该电路经过实际检验可以使用,需要将JTAG电阻改为220以下或者短接。-EPM570 used as a core, external FIFO, RAM. Can be a data collection, using 60M clock ADC ADS830E. ADC front-end circuit differential inpu
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:13880
    • 提供者:zhuyi
  1. FIFO

    0下载:
  2. 设计了一个具有双时钟信号,双复位信号的FIFO,用于FPGA中的数据缓冲,RAM的定义是参数型,可以根据自己的需求,修改此参数,完成RAM的容量扩展。程序中有详细的说明-Designed a dual-clock signal, double reset signal FIFO, for the FPGA in the data buffer, RAM is defined as parameter type, according to their needs, and modify this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:183045
    • 提供者:luosheng
  1. FPGA-Prototyping-by-VHDL-Examples---Xilinx-Sparta

    0下载:
  2. FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others-FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16619694
    • 提供者:Aleks
  1. Synchronous FIFO

    0下载:
  2. 用16*8 RAM实现一个同步先进先出(FIFO)队列设计。由写使能端控制该数据流的写入FIFO,并由读使能控制FIFO中数据的读出。写入和读出的操作由时钟的上升沿触发。当FIFO的数据满和空的时候分别设置相应的高电平加以指示(mplementation of a synchronous first in first out (FIFO) queue design with 16*8 RAM. A write FIFO that controls the data stream by writi
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:264192
    • 提供者:渔火
« 12 3 4 »
搜珍网 www.dssz.com