CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga 电子琴

搜索资源列表

  1. FPGA

    0下载:
  2. 系统应用FPGA技术,通过VHDL编程,在CPLD上实现。电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法,详细介绍各模块的设计及模块之间的连接组合方法,还包括电子琴的使用说明。
  3. 所属分类:软件工程

    • 发布日期:2014-01-17
    • 文件大小:48657
    • 提供者:严术骞
  1. 基于FPGA电子琴程序

    0下载:
  2. 基于FPGA电子琴程序
  3. 所属分类:VHDL编程

    • 发布日期:2011-03-02
    • 文件大小:123440
    • 提供者:youzhanmo
  1. 简易电子琴

    3下载:
  2. 用verilog语言编写的8键简易电子琴,并下载到FPGA通过仿真
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-12
    • 文件大小:212476
    • 提供者:chayuli
  1. dianziqing.rar

    0下载:
  2. vhdl代码: 电子琴程序设计与仿真!初学fpga者可以参考参考!!比较简单,VHDL code: program design and simulation of electric piano! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:49588
    • 提供者:daxiadian2
  1. FPGA实现多功能闹钟

    0下载:
  2. FPGA实现多功能闹钟,有电子钟、秒表、定时器、电子琴功能-FPGA realization of multi-function alarm clock, which can function as a clock, a stopwatch, a timer,and a piano.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1671175
    • 提供者:f
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68339
    • 提供者:任大志
  1. yindaiao

    1下载:
  2. Verilog HDL语言,在FPGA开发板上实现电子琴弹奏的功能-Verilog HDL language, in the FPGA development board to achieve the functions of keyboard play
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:448050
    • 提供者:双目林
  1. fpga电子琴

    0下载:
  2. 关于fpga的程序
  3. 所属分类:VHDL编程

  1. dianziqin

    0下载:
  2. vb编写的电子琴,仿真实电子琴操作界面,包含与FPGA串口通信的功能。-vb prepared organ, electric piano emulation interface is included with the FPGA serial communication functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4633
    • 提供者:tianyongfan
  1. mcu-fpga

    1下载:
  2. 目录 FPGA & MCU 开发板介绍 实验1 QuartusII 软件应用 实验2 Keil C51 应用 实验3 字符型LCD YM1602 的应用 实验4 带字库的中文LCD YM12864 的应用 实验5 时钟芯片DS1302 的应用 实验6 I2C 总线器件AT24C64 的应用 实验7 数字温度传感器的应用 实验8 行列式键盘 实验9 硬件电子琴的设计 实验10 AD 与DA 的使用 实验11 简易DDS 信号源设计 实验12 用模
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-02
    • 文件大小:1640448
    • 提供者:lyy
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. fpga

    0下载:
  2. 用vhdl编写的电子琴程序,很好很实用哦-use vhdl program dianziqin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1197362
    • 提供者:
  1. tst6

    0下载:
  2. FPGA 电子琴音乐演奏器。使用频率计,计算音乐谱的不同发音,用蜂鸣器发音,实现音乐演奏。-FPGA keyboard music player. Frequency meter, calculate the different pronunciation of the musical spectrum, buzzer pronunciation, the music played.
  3. 所属分类:MPI

    • 发布日期:2017-11-26
    • 文件大小:772508
    • 提供者:lee
  1. FPGA-dianziqin

    0下载:
  2. FPGA电子琴的源代码的描述,非常的好,同学们如果需要请下载-Descr iption of the FPGA source code of the keyboard is very good, students need to download the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:6638
    • 提供者:谢培
  1. FPGA

    0下载:
  2. 设计一个电子琴,支持手动弹奏、自动演奏、弹奏回放等功能,具体要求如下: 可通过8个音符键产生8个频率(还可扩展),对应8个音符(中音1,2,3,4,5,6,7和高音1),这些频率输出经放大后驱动喇叭,发出声音。当按下手动弹奏键时,按下音符键后就选通相应的频率输出,若同时打开录音开关,可将所奏音乐记录下来,然后在关掉录音开关后,按下回放键可实现演奏音乐回放;按下自动演奏键时,存储器里事先编写好的音符信息被依次取出,去选通各个频率输出,实现自动奏乐。 -Can produce eig
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-03
    • 文件大小:673475
    • 提供者:mary
  1. piano

    0下载:
  2. 电子琴 原创 作业 VHDL 采用计数器分频,内含简单儿歌数首,爱迪克EDA实验箱,有数码管与LED显示,采用键盘式输出,两行,中音高音。(Electronic piano original work VHDL, using counter frequency division, contains a few simple nursery rhyme, Edik EDA experimental box, there are digital tube and LED display, usin
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1101824
    • 提供者:qengleikangjen
  1. dianziqin

    0下载:
  2. 基于FPGA的电子琴动态录音与回放系统在FPGA的基础上设计系统的核心功能模块,再配合相应外围电路,在实现了电子琴基本功能的同时,还增加了演奏音乐的存储功能。(The core function module of FPGA electronic organ dynamic recording and playback system based on FPGA based, together with the corresponding peripheral circuit, in the re
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:21975040
    • 提供者:幽山之隅
  1. 电子琴pro自动演奏

    1下载:
  2. 按键1到按键7分别是电子琴的7个音调,并且按下会有对应的led灯亮。按下按键8会实现电子琴与音乐自动播放的转换,内置欢乐颂。(The keys 1 to buttons 7 are the 7 tones of the electronic organ, and press the corresponding LED lights. Press the button 8 will realize the electronic organ and music automatic play conv
  3. 所属分类:VHDL/FPGA/Verilog

  1. VB编写的仿真实电子琴操作界面

    0下载:
  2. VB编写的仿真实电子琴操作界面,包含与FPGA串口通信的功能(The virtual electronic instrument interface written by VB contains the functions of serial communication with FPGA)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:4096
    • 提供者:彦子盗
  1. 电子琴

    0下载:
  2. 一个基于FPGA的电子琴设计,采用Verilog语言(A design of electronic organ based on FPGA, using Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-23
    • 文件大小:5760000
    • 提供者:swust_fpy
« 12 3 »
搜珍网 www.dssz.com