CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga ad da

搜索资源列表

  1. ad_da_ctr

    1下载:
  2. 基于FPGA的ad和da转换Verilog代码,FPGA采用ep2c5芯片,做成异步fifo,ad芯片采用TI的ths1230,da芯片采用TI的TLV5619,仿真结果基本正确。-FPGA-based ad and da conversion Verilog code, FPGA using ep2c5 chip, made ??of asynchronous fifo, ad-chip using TI s ths1230, da chip uses TI s TLV5619, simula
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2300036
    • 提供者:ych
  1. VHDL_code

    0下载:
  2. 基于FPGA的AD,DA,LCD,LED,CAN,I2C,PS2,VGA以及一些通讯ASK,FSK等的VHDL源程序,所有程序已通过调试,需要的拿走。-FPGA-based AD, DA, LCD, LED, CAN, I2C, PS2, VGA, and some communications ASK, FSK, etc. VHDL source code, all procedures have been debugging, need to take.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14408082
    • 提供者:zhaowenqi
  1. AD0809

    0下载:
  2. 基于FPGA的AD/DA控制VHDL程序-Based on the FPGA AD/DA control VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:575
    • 提供者:wang
  1. AIC

    2下载:
  2. 使用FPGA/CPLD设置语音AD、DA转换芯片AIC23,FPGA/CPLD系统时钟为24.576MHz 1、AIC系统时钟为12.288MHz,SPI时钟为6.144MHz 2、AIC处于主控模式 3、input bit length 16bit output bit length 16bit MSB first 4、帧同步在96KHz-The use of FPGA/CPLD set voice AD, DA conversion chip AIC23, FPGA/
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-13
    • 文件大小:1582
    • 提供者:张键
  1. 200M_DA_AD

    0下载:
  2. 自己编的,用FPGA实现软件DDS调幅。编程语言是VHDL。拿出来相互学习一下。-Own, and with FPGA AM DDS software. Programming language is VHDL. Look out to learn from each other.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:270981
    • 提供者:lixuedeng
  1. ADPCM

    1下载:
  2. APPCM算法和AD/DA芯片驱动在CPLD中的实现,已在实际硬件中测试OK,quartus2环境-APPCM algorithm and AD/DA chip in the drive to achieve in the CPLD has been tested in actual hardware OK, quartus2 environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1326609
    • 提供者:jiajunxian
  1. oscillograph

    0下载:
  2. 用VHDL编写的oscillograph数字部分源代码,在Altera FPGA上跑通。直接把模拟部分输入输出AD,DA信号接入本模块即可。-Digital oscillograph with the written part of the VHDL source code, in the Altera FPGA on the run-pass. Directly to the analog input and output AD, DA signal can access this modul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13886
    • 提供者:蓝晶
  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. C51_module

    0下载:
  2. 积累的c51编程小模块,内附22个源代码,涉及到单片机、串口、fpga、交通灯、ad、da、按键扫瞄、液晶显示、电子钟、数据处理等。可供参考。-C51 programming accumulated a small module, containing 22 source code, related to a single chip, serial, fpga, traffic lights, ad, da, keypad scanning, LCD display, data processi
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:21914
    • 提供者:张尅
  1. mcu-fpga

    1下载:
  2. 目录 FPGA & MCU 开发板介绍 实验1 QuartusII 软件应用 实验2 Keil C51 应用 实验3 字符型LCD YM1602 的应用 实验4 带字库的中文LCD YM12864 的应用 实验5 时钟芯片DS1302 的应用 实验6 I2C 总线器件AT24C64 的应用 实验7 数字温度传感器的应用 实验8 行列式键盘 实验9 硬件电子琴的设计 实验10 AD 与DA 的使用 实验11 简易DDS 信号源设计 实验12 用模
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-02
    • 文件大小:1640448
    • 提供者:lyy
  1. da1_test

    0下载:
  2. ad转换,采用Altera Cyclone FPGA (EP1C6-PQ240)芯片, 在QuartusII 9.0 下编译,有较好的参考价值,已通过测试。-ad conversion, using the Altera Cyclone FPGA (EP1C6-PQ240) chip, in QuartusII 9.0 compiler, a better reference value, has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:197771
    • 提供者:gxu
  1. msp430

    1下载:
  2. 该源文件以msp430f149为CPU,内含MSP430各分模块的程序,适合单片机初学者学习,还有简易频率计,数字存储示波器,函数信号发生器三个模块的源程序,适合msp430学习者的实践。还带有FPGA的学习部分 含AD/DA-Msp430f149 the source file for the CPU, the sub-module containing MSP430 program for the microcontroller for beginners to learn, and sim
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-29
    • 文件大小:21143265
    • 提供者:
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. DSP-External-Memory-Interface-Module

    1下载:
  2. EMIF是DSP嵌入式系统中重要的外扩接口,往往连接大容量/高速存储器、并行AD/DA、外扩特殊功能芯片,甚至连接FPGA或者ASIC。-EMIF is a DSP embedded system is an important external expansion interface, often connect large-capacity/high-speed memory, parallel AD/DA, outside the extended special function chi
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-08
    • 文件大小:57657
    • 提供者:longdonghuo
  1. my6

    0下载:
  2. fpga verilog程序,实现诸多模块功能,包括,数码管显示,与ad,da通信,与mcu通信,以便通过mcu将高速ad值显示在lcd显示器上。-fpga verilog program to achieve a number of modules, including, digital display, with the ad, da communication, communication with mcu, mcu high-speed through the ad to the val
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3621177
    • 提供者:liu
  1. signal-process_based-FPGA

    1下载:
  2. 给予FPGA内核处理语音信号,外围部件有AD/DA芯片,RTL级实现对信号的采集处理到输出-signal process_based FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:9630588
    • 提供者:赵龙贺
  1. FPGA-PROGRAM

    0下载:
  2. 包括有led、lcd、步进电机、ad、da、等程序-Including those led, lcd, stepper motor, ad, da, and other procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1280037
    • 提供者:曾华林
  1. AD-and-DA-in-DSPPFPGA

    0下载:
  2. 上海志宇DSP+FPGA开发板AD/DA回放程序-AD/DA in DSP+FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-23
    • 文件大小:3249152
    • 提供者:zhangtao
  1. spi_ad

    0下载:
  2. FPGA与DAC芯片的SPI接口驱动,实现串行数据的传输。(Realizing the communication between FPGA and DA chip)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-17
    • 文件大小:6839296
    • 提供者:xyz123
  1. 27个FPGA实例源代码

    0下载:
  2. 27个实例代码,AD ,DA,频率计程序与仿真等(27 instance code:AD DA Frequency meter program and simulation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1277952
    • 提供者:骆驼驼
« 12 3 »
搜珍网 www.dssz.com