CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - frequency measure VHDL

搜索资源列表

  1. dds

    0下载:
  2. 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:278374
    • 提供者:吴健
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. SAMP_RATE

    0下载:
  2. this VHDL program can count and measure a time of high-level of a signal by a high-frequency refrence signal.
  3. 所属分类:VHDL-FPGA-Verilog

  1. plj

    0下载:
  2. 这是一个频率计的源代码,用的是VHDL语言设计的,能够测量0-20KHZ的频率!-This is a frequency meter of the source code, using the VHDL language design, can measure 0-20KHZ frequency!
  3. 所属分类:assembly language

    • 发布日期:2017-05-16
    • 文件大小:4586450
    • 提供者:biao
  1. speed_measure_on_7_segment

    0下载:
  2. Period method of frequency measuring (change constant to speed measure). DE2 Board Quartus project. Input signal on GPIO, result on 7seg, start/stop with key[0].
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:40755
    • 提供者:shaitan
  1. frequencymeter

    0下载:
  2. 测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。-Measuring the frequency of means, including electronic counter to measure frequencies with high precision, easy to use, fast measurement and easy to realize the advantages of automa
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:14278
    • 提供者:wangzhen
  1. FREQENCYrar

    0下载:
  2. 这是用DDS原理实现的频率计,能够测量1到999999HZ的待测信号,包括VHDL源程序以及成型的BDF文件。-This is achieved with a frequency meter DDS principle, can measure a signal under test to 999999HZ, including VHDL source code, as well as forming the BDF file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1400455
    • 提供者:WANGLINGLING
  1. 2010011022

    0下载:
  2. 在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。   频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:611328
    • 提供者:程琳
  1. fre

    0下载:
  2. 频率计的VHDL代码,实验课验证过的,能测量0-99999999hz的频率,并且超过上下限会报警。-Frequency counter in VHDL code, lab verified, can measure 0-99999999hz frequency, and over the limit will alarm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:10337
    • 提供者:mountain
  1. plj

    0下载:
  2. 这是一个利用VHDL编写的简易数字频率计,它所能够测量的频率范围为:0~50MHZ,当被测量信号的频率越大则它的精度越高。-This is a simple written using VHDL digital frequency meter, it can measure the frequency range: 0 ~ 50MHZ, when the frequency of the signal being measured is greater the higher its accura
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:262076
    • 提供者:
  1. fpga

    0下载:
  2. 利用超高速硬件描述语言(VHDL)在现场可编程逻辑门阵列(FPGA)上编程实现的纯数字式等精度频率计,不但具有较高的测量精度,而且其测量精度不会随着被测信号频率的降低而下降。为了实现对任意信号进行频率测量,在前端输入加整形电路即可。-The cymoneter that be implemented with using the VHDL (Very High Speed Integrated Hardware Descr iption Language) to program into the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:35507
    • 提供者:张新
  1. pinlvji_VHDL

    0下载:
  2. 可以测量方波的频率,脉宽,幅度。采用VHDL编写。-Can measure the frequency, pulse width, amplitude of the square wave. Written using VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:8244403
    • 提供者:张扬
  1. adc

    0下载:
  2. 很好的fpgaADC资料,用VHDL编写。可以测量波形的峰峰值及频率,并在数码管上显示-Good fpgaADC information with VHDL. Can measure the waveform peak to peak, and frequency, and in the digital display
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:469780
    • 提供者:黄锦辉
  1. pinlvji

    1下载:
  2. 频率计 测量范围1-100MHz 测量阈值0.1s 计数部分为FPGA/CPLD 语言VHDL 显示部分为51 单片机加八位数码管 语言C-Frequency meter Measuring range 1-100 MHZ Measure threshold is 0.1 s Count part of FPGA/CPLD Language VHDL Display part of 51 MCU with eight digita
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:553759
    • 提供者:冉凯
  1. frequency-meter

    0下载:
  2. 包含的vhdl文件能够测量频率,并包含需要仿真的图形- files of compressed package can measure the frequency of VHD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:102480
    • 提供者:Jack
搜珍网 www.dssz.com