CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - graycode

搜索资源列表

  1. GrayCode

    0下载:
  2. programmed to manipulate the Gray Cyclic Binary Codes.
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:7856
    • 提供者:guoyanpeng
  1. GRAYcode

    1下载:
  2. 二进制码转换为格雷码,整个工程包括了波形文件,在Quartus上的可以直接进行仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29592
    • 提供者:桃子
  1. GrayCode

    0下载:
  2. 此程序为产生gray码GRAYCODE,通过n值产生GRAYCODE,例如,n=3,则其GRAYCODE为000,001,010,011, 010,110,111,101 和 1
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1403
    • 提供者:EVE
  1. GRAYCODE

    0下载:
  2. 这是一个快速产生Gray码的方法,可以飞快地产生很大的Gray码序列.代码巧妙,高效.
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1371
    • 提供者:li
  1. graycode

    0下载:
  2. 构造格雷码的分析程序,用于算法分析,用VC编写,可以构造n位的格雷码-Gray Construction Code Analysis Program for the analysis of algorithms, with VC preparation, can be constructed of n-Gray code
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:884
    • 提供者:范铮
  1. graycode

    0下载:
  2. 所属分类:源码下载

    • 发布日期:2011-09-21
    • 文件大小:3131014
    • 提供者:Achen2211
  1. GrayCode

    1下载:
  2. matlab环境下格雷码的编码,二进制译成格雷码,反之- gray coding in Matlab environment,Binary translated into gray yards
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:7655
    • 提供者:wangyapeng
  1. GRAYcode

    0下载:
  2. gray code encoder and demo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:120144
    • 提供者:chen
  1. GrayCode

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:61151
    • 提供者:LEE
  1. GrayCode

    0下载:
  2. 格雷码,Gray Code,,是一种绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。-Gray Code, Gray Code,, is an absolute encoding, the typical Gray code is a kind of reflection characteristics and cycle characteristics of the single-ste
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61225
    • 提供者:王腾
  1. GrayCode

    0下载:
  2. 格雷码(Gray code),又叫循环二进制码或反射二进制码 在数字系统中只能识别0和1,各种数据要转换为二进制代码才能进行处理,格雷码是一种无权码,采用绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。 -Gray code (Gray code), also known as cyclic binary code or binary code reflected in the d
  3. 所属分类:Data structs

    • 发布日期:2017-03-28
    • 文件大小:8744
    • 提供者:夏雪
  1. graycode

    0下载:
  2. This program converts binary code to gray code.
  3. 所属分类:2D Graphic

    • 发布日期:2017-04-10
    • 文件大小:1076869
    • 提供者:donginshin
  1. GrayCode

    0下载:
  2. Gray COde lookup table example on assembly
  3. 所属分类:assembly language

    • 发布日期:2017-11-27
    • 文件大小:9556
    • 提供者:renatox23
  1. graycode

    1下载:
  2. 使用此指令可以将整数转换成格雷码,或者相反。-Use this command to integer convert Gray code, or vice versa.
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:3822
    • 提供者:李阳
  1. Graycode

    1下载:
  2. 用matlab产生n位格雷码(n<=10)在通信编码前经常采用-generate gray codes whose length is less than or equal to 10 by matlab .it is very usful before encoding in communication
  3. 所属分类:matlab

    • 发布日期:2017-11-06
    • 文件大小:738
    • 提供者:leo yang
  1. graycode

    0下载:
  2. siemens s7-200 gray to binary decode mothod, some machine use gray to detect distance
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3786
    • 提供者:xusl
  1. GrayCode

    0下载:
  2. 格雷码转换,可以在十进制、二进制、格雷码之间任意转换。-Gray code conversion
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:233784
    • 提供者:张强
搜珍网 www.dssz.com