CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led 8*8

搜索资源列表

  1. key+led

    0下载:
  2. proteus 2*8,8段数码管,中断按键仿真,
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:27650
    • 提供者:
  1. LED-control

    0下载:
  2. 有74HC595和三极管联合控制的8*8点LED显示屏的现实驱动电路设计图-74HC595 and the transistor have joint control of the 8* 8 LED display drive circuit design reality
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:555035
    • 提供者:小柴
  1. 8-led-VHDL

    0下载:
  2. 8位流水灯程序设计,跑马灯效果显示,里面是VHDL程序。-8 water lamps program design, which is a VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:109883
    • 提供者:luo
  1. 88led

    0下载:
  2. 用汇编写得8*8led阵列程序,以及Proteus仿真。请大家参考-liushuideng sample
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:73237
    • 提供者:wangfangkai
  1. LED-X-8

    0下载:
  2. LED X 8.rar ME850單片機開發實驗儀演示程序 - LED 左右移動流水燈-LED X 8.rar ME850 microcontroller development of experimental instrument demo program move water around- LED lights
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:12859
    • 提供者:kamechan
  1. led

    0下载:
  2. 通过8片74595和16片tip127驱动16*`16*4的led,显示汉字信息,超高亮度的led显示.74595采用串联移位的方式,74154为16选1的行驱动,驱动16片tip127,显示效果良好-74595 and 8 through 16 tip127 Driver 16* `16* 4 led, display of Chinese characters of information, ultra-high brightness of the led display using tan
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:36850
    • 提供者:许石宝
  1. 8×8LED

    0下载:
  2. 8×8点阵LED显示,基于单片机的人机接口的设计,硬件设计及软件仿真,用到的软件是PROTEUS KEIL-8 × 8 dot matrix LED display, based on single-chip human interface design, hardware design and software simulation software is used PROTEUS KEIL
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:75363
    • 提供者:朱祥林
  1. 88LED

    0下载:
  2. 8*8点阵led显示功能介绍,包括点阵构成的原理,显示一竖柱,显示一横柱-8* 8 dot matrix led display, including the principle of dot-matrix composition, show一竖column, showing a horizontal column
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:54741
    • 提供者:lxc
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. LED

    0下载:
  2. 最近买了块开发板学习单片机,以下是电路原理图。不明白上面的4×4矩阵键盘(电路图最左上角)的编码原理。键盘的8条引线直接与p1.0^p1.7相连,疑惑的是即使按下任意按键也在p1口上读不到任何电平啊? 期待高手指点!-Recently bought a development board to study single-chip block, the following is the circuit schematic. Do not understand the above 4 × 4 m
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:944574
    • 提供者:侯桂友
  1. LED

    0下载:
  2. SONIX 8位单片机行列双向驱动LED -SONIX 8BIT MCU EXMAPLE
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:21582
    • 提供者:demingchen
  1. 8LEDnixietubeelectronclockprocedure

    0下载:
  2. AT89C2051只有15个IO口,要显示8位数码管有点吃力,必需扩展,所以找来74HC595串转并移位寄存器,一个做段显一个做位选,只用三个IO口就解决了8+8的显示,如果要再多一点也不怕,不过2051只有2K的存贮空间,也限制了显示位数。DS1302占用3个IO,这样一个P1只用了6个IO,还有两个空着,准备设置一个LED感应自动开关和一个DS18B20,如果程序大小允许再加入红外摇控,把LED显示做大一点,就是一款实用的电子钟。-AT89C2051 only then 15 IO mout
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:12658
    • 提供者:莫伦伟
  1. LED

    0下载:
  2. 步行小绿人是利用ht1632驱动由6*8*8点阵块组成的24*16LED点阵幕来显示小緑人行走动画。采ht4810a为主芯片来控制进行数据传输,􀋛 􀋧 􀋇 􀋋 􀋥 􀋄 􀋃 􀋔 􀋀 􀋄 通过􀋖 􀋦 、􀋪 􀋥 、􀋗 􀋔 􀋧 &
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2273
    • 提供者:张钧
  1. LED

    0下载:
  2. 单片机控制8*8LED点阵(上、下、左、右、闪烁)显示-SCM control 8* 8LED matrix (up, down, left, right, blinking) display
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:109948
    • 提供者:agdsafsd
  1. led

    0下载:
  2. led8*8点阵,实现模拟广告功能,显示想要的效果-led8* 8 dot matrix, analog advertising feature, showing the desired effect
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:135347
    • 提供者:卡卡
  1. matrix-led

    0下载:
  2. 在单片机开发中使用的8×8点阵显示屏的仿真和测试程序。-Microcontroller used in the development of 8 × 8 dot matrix display simulation and testing procedures.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:103686
    • 提供者:xunan
  1. LED.dot.matrix.display

    0下载:
  2. LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:80804
    • 提供者:duopk
  1. Led-Matrix-8-parallel-data-transfer-8-bits-for-80

    0下载:
  2. Led Matrix 8 parallel data transfer 8 bits, scan the external columns. Protues 7.5 simulations.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-22
    • 文件大小:26124
    • 提供者:Phi Ung
  1. 8*8led点阵跑马灯

    0下载:
  2. "8*8led点阵跑马灯,c程序源文件,适用于51单片机
  3. 所属分类:文档资料

  1. 8-8位LED左移

    0下载:
  2. 8-8位LED左移(8-8 bit LED left shift)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:7168
    • 提供者:5lr51lnh
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com