CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lfsr

搜索资源列表

  1. hw08.ps

    0下载:
  2. Maximum Length PN sequences are binary sequence generators that are capable of outputting all possible combinations of binary sequences in 2^m-1 cyclic shifts, where m is the size of the LFSR (Linear Feedback Shift Registers ) used in generating such
  3. 所属分类:File Operate

  1. RSN

    0下载:
  2. “Randomized Smoothing Networks” introduced the idea of using networks composed of a type of comparator/memory element, initialized to random initial states, to create smoothing networks, which take arbitrary input loads into the network and produce a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:247885
    • 提供者:Stephen Bishop
  1. LFSR_23

    0下载:
  2. LFSR (Linear Feedback Shift Register) for polynomial (23,18)
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1675
    • 提供者:babak
  1. extension_pack_latest.tar

    0下载:
  2. This project contains files you can use to expand upon the basic IEEE packages you normally use for creating testbenches and RTL code. Automatic count stop/start value generation functions. You enter a time duration and clock frequency and the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:1068950
    • 提供者:Louis
  1. LFSR_FIFO_GasP

    0下载:
  2. • LFSR uses global clock > Every stage contains valid data > Data moves in lock-step > Bit sequencing and synchronization implicitly enforced • Async implementation requires explicit control > Not every stage contains
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:122923
    • 提供者:Prashanth
  1. LFSR_generator

    0下载:
  2. This the matlab code for linear feedback shift register (LFSR).LFSRs is used for generating pseudo-random numbers, pseudo-noise sequences, fast digital counters-This is the matlab code for linear feedback shift register (LFSR).LFSRs is used for gene
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:2225
    • 提供者:pooh
  1. abc

    0下载:
  2. 线性反馈与对偶移位寄存器的功能实现 实现n-LFSR与 n-DSR(n为正整数)进动一拍的程序(旨在能“由寄存器的一个状态算出紧接着的下一个状态”) 寄存器状态的各分量自然地与相应数据存储区的各比特位一一对应,不允许仅1个状态分量就占据1个存储单元(字节、字或双字等)。-Linear feedback shift register with dual function realization The realization of n-LFSR and n-DSR ( n is
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-14
    • 文件大小:177984
    • 提供者:周晗
  1. LAB-16

    0下载:
  2. 用FPGA实现的性线反馈移位寄存器(LFSR)设计。整个工程在quartusII环境下,用verilog编程。-FPGA implementation of the line feedback shift register (LFSR) design. The whole project in verilog programming the quartusII environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:304314
    • 提供者:李娟
  1. total

    0下载:
  2. matlab 隐写和隐写分析代码 由LFSR生成密钥的函数~可以实现正向隐写与逆向隐写分析 估计嵌入率 ~注解详细-matlab steganography and steganalysis forward steganography reverse function to generate a key code plus LFSR the steganalysis estimated embedding rate to comments in detail
  3. 所属分类:Special Effects

    • 发布日期:2017-11-13
    • 文件大小:1756
    • 提供者:科科
  1. Poster-ETS2005

    0下载:
  2. A major potential problem with the LFSR approach is that some logic, such as an NA810 (an 8-input NAND gate), is pattern resistant. When any of the eight inputs is a 0, the output is a 1. Only when all eight inputs are 1s does the logic change stat
  3. 所属分类:File Formats

    • 发布日期:2017-11-15
    • 文件大小:207277
    • 提供者:khawla tahri
  1. lecmazum3

    0下载:
  2. A major potential problem with the LFSR approach is that some logic, such as an NA810 (an 8-input NAND gate), is pattern resistant. When any of the eight inputs is a 0, the output is a 1. Only when all eight inputs are 1s does the logic change stat
  3. 所属分类:Software Testing

    • 发布日期:2017-11-22
    • 文件大小:153554
    • 提供者:khawla tahri
  1. rc4_console

    0下载:
  2. 伪随机数发生器LFSR及其在流密码RC4中的应用-Pseudo-random number generator LFSR in the stream cipher RC4
  3. 所属分类:CA program

    • 发布日期:2017-11-27
    • 文件大小:211992
    • 提供者:飞哥
  1. 18472582m_sequence_3

    0下载:
  2. 基于lfsr的伪随机序列发生器,带有游程检测-Pseudo-random sequence generator based on lfsr with run detection
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-21
    • 文件大小:849
    • 提供者:张振鹏
  1. Encrypt-system

    0下载:
  2. 一系列的加密算法,包括恺撒密码、KeyWord密码、PlayFair密码、Vigenere密码、LFSR密码、RC4密码、DES密码和IDEA密码。-A series of encryption algorithms, including Caesar password, keyword password, the Playfair password, the Vigenere Password, the LFSR password, RC4 password DES password and
  3. 所属分类:CA program

    • 发布日期:2017-11-13
    • 文件大小:13936
    • 提供者:liulanshui
  1. New-Text-Document

    0下载:
  2. NOTE THAT THE DESCRAMBLE ROUTINE IS IDENTICAL TO THE SCRAMBLE ROUTINE this routine implements the serial descrambling algorithm in parallel form this advances the lfsr 8 bits every time it is called this uses fewer than 25 xor gates to imple
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-19
    • 文件大小:792
    • 提供者:truong nhan
  1. LFSR

    0下载:
  2. 反馈移位寄存器代码,求图像像素相关性-Feedback shift register code, and the image pixel
  3. 所属分类:Special Effects

    • 发布日期:2017-11-08
    • 文件大小:1025
    • 提供者:周秀玲
  1. LFSR

    0下载:
  2. 序列密码--线性反馈移位寄存器--是密码学的基础,密码编程的前部分在这-Cipher- a linear feedback shift register- is based on cryptography, passwords in the first part of programming! !
  3. 所属分类:Console

    • 发布日期:2017-11-28
    • 文件大小:155989
    • 提供者:艾力
  1. RAMFIFO_Ctrl_LFSR

    0下载:
  2. RAMFIFO with LFSR Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4956
    • 提供者:hadimk
  1. galois_lfsr_latest.tar

    0下载:
  2. GALOIS FIELD POLYNOMIAL BASED LFSR
  3. 所属分类:Development Research

    • 发布日期:2017-04-14
    • 文件大小:4038
    • 提供者:ANNIYAN
  1. random_num_gen

    0下载:
  2. Combination is formed by permuting and XORing 32 bits of LFSR and CASR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2880903
    • 提供者:ad
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com