CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - manchester

搜索资源列表

  1. manchester

    1下载:
  2. 用verilog HDL实现曼彻斯特编码的源码-with Manchester Verilog HDL source code
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:4131
    • 提供者:刘波
  1. Manchester-AVR

    0下载:
  2. Manchester码解码算法的AVR单片机实现
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:332054
    • 提供者:林永平
  1. Manchester.rar

    1下载:
  2. 曼彻斯特编解码源代码,还包含曼彻斯特码的说明文档,Manchester Encoder-Decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:40515
    • 提供者:cst008
  1. Manchester

    2下载:
  2. 使用C语言编写的曼彻斯特编码和解码功能函数-Written in C language with Manchester encoding and decoding functions
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-05
    • 文件大小:1365
    • 提供者:firebire
  1. manchester-coding

    1下载:
  2. 使用51单片机进行曼彻斯特编解码,编码程序中有同步头,结束位设置,解码有查找同步头,有效跳变检测等程序,内有proteus仿真原理图-With 51 single-chip codec to Manchester, there are sync, the end bit is set, decode sync with search, detection procedures are not effective, there proteus simulation schematic
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-05
    • 文件大小:12332
    • 提供者:管俊波
  1. manchester

    2下载:
  2. 最简单的曼彻斯特编码 matlab实现 rfid typeA中的曼彻斯特编码-Most simple Manchester coding matlab implementation
  3. 所属分类:RFID

    • 发布日期:2017-03-27
    • 文件大小:4866
    • 提供者:wangpeng
  1. graphing

    0下载:
  2. 1100011001的非归零码、曼彻斯特编码、差分曼彻斯特编码、调幅(ASK)、调频(FSK)和调相(PSK)的编码波形示意图-1100011001 of the NRZ, Manchester encoding, differential Manchester encoding, AM (ASK), frequency modulation (FSK) and phase modulation (PSK) waveform diagram of the coding
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:614
    • 提供者:刘骁明
  1. manchester_code

    0下载:
  2. 曼彻斯特编码函数.将输入的字节转换为曼彻斯特编码输出.-Manchester encoding function. Would be bytes of input into Manchester encoded output.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:599
    • 提供者:phoenix
  1. manchester

    1下载:
  2. 该程序主要是完成曼彻斯特码的,编码,同步,加噪,译码,计算误码率等功能。-the program main contain manchester code, synchronization, add the gauss noise and so on
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:155867
    • 提供者:hmg
  1. Manchester

    9下载:
  2. 用matlab实现的曼彻斯特编码。50 占空比(可调)。绘制时域波形和频域功率谱密度。结果跟北邮的郭文斌的通信原理课件上的形状一模一样。-Manchester encoding with a matlab implementation. 50 duty cycle (adjustable). Draw time-domain waveform and frequency domain power spectral density. The results with the Beijing Un
  3. 所属分类:matlab例程

    • 发布日期:2017-05-11
    • 文件大小:1713
    • 提供者:李刚
  1. manchester-decoder-encoder

    0下载:
  2. Manchester Encoder - Decoder-Manchester Encoder- Decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:9373
    • 提供者:Archie
  1. piccontrolu2270

    0下载:
  2. 使用PIC芯片控制U2270读取125KHz ID卡卡号,因为所使用的PIC资源很少,此程序极为精简,包含中断曼切斯特解码和校验全过程,非常实用。-The use of PIC chip control U2270 Read 125KHz ID card number, because the use of PIC scarce resources, this program is extremely streamlined, with interruption of Manchester de
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1916
    • 提供者:梁晶
  1. 200681556499797

    1下载:
  2. 曼彻斯特编解码 用vhdl编写的,经过quartus功能仿真测试过了的-Manchester codec prepared using VHDL, the Quartus functional simulation has been tested
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:104106
    • 提供者:yin
  1. Manchester

    0下载:
  2. “Manchester码(双相码)编码器- Manchester Code (two-phase code) encoder
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:973
    • 提供者:冯小晶
  1. manchester-code

    0下载:
  2. 曼彻斯特编码技术用电压的变化表示0和1。规定在每个码元中间发生跳变。高→ 低的跳变表示0,低→ 高的跳变表示为1。每个码元中间都要发生跳变,接收端可将此变化提取出来作为同步信号,使接收端的时钟与发送设备的时钟保持一致-Manchester coding techniques that use voltage changes in 0 and 1. Provisions in the middle of each symbol hopping happen. High → low hopping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:90811
    • 提供者:魏伟
  1. Manchester

    0下载:
  2. 16 位 二进制 随机数 生产 函数和Manchester编码-16-bit binary random number production function and Manchester encoding
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-30
    • 文件大小:3544
    • 提供者:achun
  1. manchester

    1下载:
  2. verilog 实现manchester编解码,最高速率5mhz-verilog manchester code to achieve the highest rate of 5mhz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4090
    • 提供者:王红星
  1. manchester

    0下载:
  2. 源码包含三个模块,数据发送模块是读取FIFO中的数据后,将并行数据转换为串行,同时对串行数据进行曼彻斯特编码输出。数据接收模块是对接收的数据进行曼彻斯特解码。FIFO控制器模块将接收的串行数据转换为并行,并存储。 曼彻斯特解码部分本文采用了过采样技术,使用了一个8倍时钟进行采样。每一个数据周期采样8次,每四次采样确定一个状态,如果采样到三次及以上高电平则认为是高状态,否则认为是低状态。状态由高到底则是数据0,由低到高则是状态1。-Source consists of three module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4086
    • 提供者:陈建
  1. nrz and manchester

    0下载:
  2. model simulink of manchester and nrz modulation
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:9216
    • 提供者:faycel
  1. manchester

    1下载:
  2. 关于曼彻斯特码和差分曼切斯特码在matlab中的程序实现,可运行(THE MANCHESTER OF MATLAB)
  3. 所属分类:通讯编程

    • 发布日期:2021-01-06
    • 文件大小:61440
    • 提供者:汤姆不苏
« 12 3 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com