CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - matlab and MIF

搜索资源列表

  1. 61EDA_D462

    0下载:
  2. 用MATLAB生成mif and hex(QUARTUS II)内存初始化文件简介-Using MATLAB to generate mif and hex (QUARTUS II) Memory initialization file About
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:62594
    • 提供者:sophie
  1. MATLABcreatmif

    0下载:
  2. 产生mif文件的函数,简单易用,来自CSDN,非常感谢原创者-creat mif file.from the www
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:128355
    • 提供者:seasonroad
  1. miffile

    0下载:
  2. 用matlab产生mif文件。(Altera的EDA软件,如maxplus,quartus等用到的初始化rom,ram等的文件格式)-Mif files generated by matlab. (Altera' s EDA software, such as maxplus, quartus used to initialize and so on rom, ram, such as the file format)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1446
    • 提供者:何亮
  1. bxfsq

    0下载:
  2. 用VHDL代码实现的0-40000任意分频,具体分频数可以自己参考进行修改.并用matlab写好各种波形图的MIF文件,然后实现FPGA的一个多功能波形生成器! (平时的课程设计)-Achieved using VHDL code 0-40000 arbitrary frequency, the specific sub-frequency reference can be modified. Matlab written by a variety of waveforms of MIF fil
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:641456
    • 提供者:
  1. cos_val

    0下载:
  2. 用matalb实现QuartusII中的初始化文件(.mif或是.hex)文件,涉及到matlab中文件的读写步骤。-Realize the initial files(.mif or .hex) using matlab,and it involves writing and reading in matlab.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:549
    • 提供者:孙兰
  1. MIF_file_of_Sine_Wave_Generator

    0下载:
  2. 在Quartus的DDS设计中,通常会用到mif或者hex文件存储函数值,被ROM的IP模块调用。本程序是在Matlab环境下,根据所需数据位数和长度自定义mif文件。-Quartus DDS design, usually used in the mif or hex file storage function value, call the ROM of IP modules. This program is in the Matlab environment, according to t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:577
    • 提供者:
  1. matlab

    0下载:
  2. matlab产生正弦波,分别是coe和mif格式文件,可以作为xilinx和altera器件厂家ROM初始化文件-matlab generate sine, respectively coe and mif format files can be used as xilinx and altera device manufacturers ROM initialization file
  3. 所属分类:Other systems

    • 发布日期:2017-11-15
    • 文件大小:1149
    • 提供者:zma
  1. MATLABLPM_ROM

    0下载:
  2. 用MATLAB实现LPM_ROM中数据初始化在QuartusⅡ调入ROM初始化数据文件并选择在系统中的读写功能时,默认选择hex文件,在此你是见不到刚刚移动到工程中的mif文件的,需要在右下角的文件格式中选择MIF文件,这样就可以添加进去了-Using MATLAB LPM_ROM initialization data transferred in Quartus Ⅱ ROM initialization data file and select the read and write func
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:14038
    • 提供者:kempwangkai
搜珍网 www.dssz.com