CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - matrix vhdl

搜索资源列表

  1. vhdl语言实现的16乘16的点阵显示设计代码

    1下载:
  2. vhdl语言实现的16乘16的点阵显示设计代码,调试通过,可借鉴-VHDL language to achieve the 16 by 16 dot matrix display design code, debug is passed, can learn from-vhdl language implementation of the 16 by 16 dot matrix display design code, debug through, we may learn-VHDL langu
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-13
    • 文件大小:3507730
    • 提供者:王晨
  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. TFTLCD

    1下载:
  2. 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-06
    • 文件大小:1024
    • 提供者:willam
  1. keyscaner

    1下载:
  2. 自己设计的矩阵键盘扫描程序,实现5X4键盘扫描,带有去抖和中断功能。-Their own design matrix keyboard scanner, to achieve 5X4 keypad scanning, to shaking and interrupt functions with.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-12
    • 文件大小:1802
    • 提供者:杨明
  1. 240128

    0下载:
  2. 240128液晶驱动程序,本演示程序适用于SMG240128A液晶显示模块与MCS51系列单片机采用MCS51模拟口线的 //硬件连线方式。 // 本演示程序包括T6963C兼容芯片的MCS51模拟口线方式子程序集,T6963C兼容芯片的240128 //液晶显示模块的基本子程序,以及SMG240128系列标准图形点阵型液晶显示的基本演示子程序. // 本演示的内容为,在240列X128行的点阵液晶显示屏上清屏,写数据,读写数据,全屏显示.-240,128 LCD drivers
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:2929
    • 提供者:jack
  1. key_scan1

    0下载:
  2. 用verilog语言描述的键盘扫描程序,用于FPGA芯片以及矩阵键盘的测试。-With verilog language keypad scanning procedure.For the FPGA chip and matrix of the keyboard.
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-31
    • 文件大小:200100
    • 提供者:张启梅
  1. key_matrix44

    1下载:
  2. FPGA EP1C6Q240C8 4*4键盘模块 4*4矩阵键盘,采用扫描方式检测按键-FPGA EP1C6Q240C8 4* 4 keyboard module 4* 4 matrix keyboard, using scanning detection button
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-23
    • 文件大小:278801
    • 提供者:lan
  1. lattice

    0下载:
  2. 本程序是用VHDL编写,用于实现点阵显示功能。-This procedure is used VHDL to prepare for the realization of dot-matrix display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:135500
    • 提供者:lesslie
  1. LDPCBSN

    1下载:
  2. LDPC码既低密度奇偶校验码(Low Density Parity Check Code,LDPC),它由Robert G.Gallager博士于1963年提出的一类具有稀疏校验矩阵的线性分组码,不仅有逼近Shannon限的良好性能,而且译码复杂度较低, 结构灵活,是近年信道编码领域的研究热点,目前已广泛应用于深空通信、光纤通信、卫星数字视频和音频广播等领域。LDPC码已成为第四代通信系统(4G) -LDPC codes BER simulation under AWGN channel.
  3. 所属分类:matlab

    • 发布日期:2016-11-21
    • 文件大小:8047
    • 提供者:天天
  1. VHDL

    0下载:
  2. 实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果。在QuartusII平台上设计程序和仿真题目要求,并下载到实验板验证实验结果。-Achieve a 10-second countdown circuit, requires the use of 8* 8 dot matrix display timing results. QuartusII platform in the design process and simulation on the subject request and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:404056
    • 提供者:li
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. 1616

    0下载:
  2. 用vhdl语言描述的16*16点阵显示英文字母-Vhdl language used to describe the 16* 16 dot matrix display alphabetical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4269
    • 提供者:weimin
  1. Encoders_for_Block_Circulant_LDPC_Codes

    0下载:
  2. In this paper, we present two encoding methods for block-circulant LDPC codes. The first is an iterative encoding method based on the erasure decoding algorithm, and the computations required are well organized due to the blockcirculant struc
  3. 所属分类:Document

    • 发布日期:2017-03-31
    • 文件大小:394363
    • 提供者:kumar
  1. VHDL

    0下载:
  2. Program VHDL is scan keypad matrix 3*3 display to LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:659949
    • 提供者:pokamon
  1. display

    0下载:
  2. 点阵显示。利用VHDL语言描述-Dot-matrix display. Described using VHDL language. . . . . . . . . ,. . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:179636
    • 提供者:shaozhiming
  1. matrix

    0下载:
  2. 该源代码是控制16*16点阵的VHDL语言描述,可以让点阵连续显示设置的汉字。-The source code is to control 16* 16 lattice VHDL language descr iption, allowing a continuous dot-matrix display settings of the characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:909042
    • 提供者:王伟
  1. matrix

    0下载:
  2. 3x3 matrix implementation in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:740924
    • 提供者:Nagendran
  1. LED.dot.matrix.display

    0下载:
  2. LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:80804
    • 提供者:duopk
  1. Heart-type-dot-matrix-display

    0下载:
  2. 基于FPGA的用VHDL程序编写的点阵显示爱心型-FPGA-based programming with VHDL-based dot-matrix display of love
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:190079
    • 提供者:飞虎队
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com