CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - max197 fpga

搜索资源列表

  1. max197

    0下载:
  2. verilog编写的状态机控制A/D芯片MAX197正常工作-use verilog write the state machine which is used to meke the A/D chip working!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:714
    • 提供者:zhang
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
  1. max197

    0下载:
  2. FPGA实现MAX197读写程序,经过验证-FPGA control 12bAD max197
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2737
    • 提供者:刘义红
  1. xp

    0下载:
  2. 96pin连接器、3366电位器、67148_AD_AD537、AD202隔离放大器、AD537、AD650SD电压频率,频率电压转换器、AD1671高速AD、AD7376,128位数字电位计、ADR440_441_443_444_445、CA3140高输入阻抗运算放大器、EPM7256,FPGA、IC封装大全、IRF430功率场效应管、LH0070、LM139四路差动比较器、MAX197、PCA82C250[1]、Pt1000、TD823高温功率放大器、TD823高温功率放大器、固态继电器AQ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10353742
    • 提供者:牛谢宝
搜珍网 www.dssz.com