CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - maxplus2

搜索资源列表

  1. xuliejiasnceqi

    0下载:
  2. 序列检测器,用MAXPLUS2设计的,我自己的设计成果,提供给那些刚对数字设计入门的新手们-Sequence detector, using MAXPLUS2 design, design my own results to those just getting started on the digital design novices
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:69053
    • 提供者:火舞
  1. qiangdaqi

    0下载:
  2. 筛选抢答成功者,用于抢答比赛中,不是很复杂,呵呵呵呵额-maxplus2
  3. 所属分类:Applications

    • 发布日期:2017-04-01
    • 文件大小:379221
    • 提供者:panhuaicheng
  1. MaxPlus2_novice_learning_manuals

    0下载:
  2. MaxPlus2新手学习手册:学习软件的必备教程,很详细!-MaxPlus2 novice learning handbook: the essential learning software tutorial, very detailed!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:235687
    • 提供者:io
  1. MAXplusII

    0下载:
  2. maxplus2 功能图标 是你更清楚更加熟悉的使用maxplus2-maxplus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:99922
    • 提供者:thuwudi
  1. MAXplusII_(VHDL)

    0下载:
  2. 用maxplus2和vhdl 实现重要典型电路设计 是你的首选 -maxplus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:111343
    • 提供者:thuwudi
  1. MAXplusII_

    0下载:
  2. maxplus2 的功能达介绍 让你更加 熟练使用这个软件 更加清晰-maxplus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:984298
    • 提供者:thuwudi
  1. 3

    0下载:
  2. 】文章介绍了用于体育比赛的数字秒表的VHDL 设计, 并基于FPGA 在MAXPLUS2 软件下, 采用ALTRA 公司FLEX10K 系列的EPF10K10LC84- 4 芯片进行了计算机仿真-】 This article introduces digital stopwatch for sports competition in the VHDL design and FPGA-based software in MAXPLUS2, using ALTRA company FLEX10K
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:50273
    • 提供者:孤星寒
  1. mclock

    0下载:
  2. 用VHDL编写的带闹钟报时功能的数字钟 ,现代数字系统设计作业。 采用文本图形混合输入,在maxplus2 10.0运行通过-Written by VHDL figures with alarm chime clock, modern digital system design work. Graphics mixed with text input, run by the maxplus2 10.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:333839
    • 提供者:yan
  1. 2to10

    0下载:
  2. 2 to 10 bcd under vhdl langage in maxplus2 good one
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2597
    • 提供者:adam
  1. multiply

    0下载:
  2. 实验报告中完成以下功能:在maxplus2 环境下,完成4bit × 4bit 运算功能,并模拟显示出相关内容,设计动态扫描显示电路,显示两位字符,以便用在4bit × 4bit运算中。 (附源程序代码)-multiplay under maxplus2,use VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:35434
    • 提供者:张三
  1. vote7_plus

    0下载:
  2. 七人表决器完整工程项目,VHDL语言编写,Maxplus2环境,内有仿真图,实验可用-Seven voting integrity project, VHDL language, Maxplus2 environment, there are simulation diagram, experimental available ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:421820
    • 提供者:Andrew
  1. stop_watch

    0下载:
  2. stopwatch source it is maded by maxplus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5081
    • 提供者:daesuk
  1. commond

    0下载:
  2. Maxplus2软件最常用到的命令,能够帮助很好的了解软件开发环境-Maxplus2 most commonly used software commands, can help a good understanding of software development environment
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:270708
    • 提供者:dongmei
  1. license

    0下载:
  2. Maxplus2软件在安装过程中不可缺少的文件-Maxplus2 software is indispensable during the installation file
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:3045
    • 提供者:dongmei
  1. clock

    0下载:
  2. EDA用maxplus2开发设计的简易数字钟,适合初学者,vhdL语言-EDA maxplus2 in development and design of simple digital clock, is suitable for beginners, vhdL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:392006
    • 提供者:zzz
  1. four_bit-data-selector

    0下载:
  2. 四位的数据选择器,可在maxplus2上运行并仿真-Four of the data selection, which can be run on the maxplus2 and Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:53890
    • 提供者:孙晟轩
  1. APDLL

    0下载:
  2. 数字锁相环的FPGA设计与实现,用maxplus2实现的-DPLL FPGA design and implementation, with maxplus2 achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1236290
    • 提供者:yinuo
  1. clock

    0下载:
  2. 时钟,用maxplus2做的,可以重置时间,可以设置闹铃。-The clock, with maxplus2 do,it can reset the time and set the alarm.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-03
    • 文件大小:442717
    • 提供者:zhang
  1. Tpinng_panngh

    0下载:
  2. 这是用AHDL语言开发的一个PCI采集系统的逻辑源码,其中的乒乓设计思思路新颖,有兴趣的朋友能参考一下!编译环境为maxplus2 可直接使用。 -AHDL language developed a PCI acquisition system logic source code, which the novel ping-pong the design Chaosisi Road, friends who are interested can refer to! Compilation e
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-04
    • 文件大小:438919
    • 提供者:
  1. DT

    0下载:
  2. 基于maxplus2的心跳记录器设计,可以显示,回放,报警-failed to translate
  3. 所属分类:Other systems

    • 发布日期:2017-11-21
    • 文件大小:1142554
    • 提供者:lmk
« 1 2 3 45 »
搜珍网 www.dssz.com