CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - miaobiao

搜索资源列表

  1. miaobiao

    0下载:
  2. 实现秒表的功能。能精确到0.01位。最多能计时1个小时。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:865515
    • 提供者:杨德勇
  1. miaobiao

    0下载:
  2. 设计一个可以顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态、待机状态。 (4) 每当接收到有效按键
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:209082
    • 提供者:李亟
  1. miaobiao

    0下载:
  2. 利用51单片机设计数字钟,具有调时,调分,闹钟,秒表等功能
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1546
    • 提供者:fangka
  1. miaobiao

    0下载:
  2. 数字秒表,有分,秒,毫秒,精确度极高。使用verilong语言,程序短小精炼,非常值得参考。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:46130
    • 提供者:李立
  1. miaobiao 用verilog VHDL描写的秒表程序

    0下载:
  2. 用verilog VHDL描写的秒表程序,可以显示百分秒,秒和分。-Verilog VHDL with the descr iption of a stopwatch program, can display the arc, seconds and points.
  3. 所属分类:其他小程序

    • 发布日期:2017-03-23
    • 文件大小:380463
    • 提供者:彭全飞
  1. miaobiao 简单的四个数码管显示的四位的秒表电子时钟

    1下载:
  2. 这是我做的一个简单的四个数码管显示的四位的秒表电子时钟。带有三个按键,开始,停止,清零-This is I do a simple four digital pipe display four stopwatch electronic clock. With three key, start, stop, reset
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-01-26
    • 文件大小:37888
    • 提供者:胡瑞锋
  1. miaobiao

    0下载:
  2. 在LCD屏幕上显示秒表计时,并利用按键控制秒表的开始与暂停及清零。同时LED灯呈跑马灯闪烁-Displayed on the LCD screen stopwatch timer, and stopwatch using buttons control the start and pause and clear. LED lights were also flashing marquees
  3. 所属分类:Embeded Linux

    • 发布日期:2017-05-12
    • 文件大小:2999786
    • 提供者:张璐璐
  1. 9.9miaobiao

    0下载:
  2. 9.9秒表C语言单片机课程设计包含Proteus电路图-9.9 Singlechip stopwatch C language curriculum design includes schematics Proteus
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:198161
    • 提供者:包真亮
  1. miaobiao

    0下载:
  2. 断电不丢失秒表,网友共享的,可以方针,好用-Power is not lost stopwatch users to share, you can approach, easy to use
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:25903
    • 提供者:lvning
  1. Delphi

    0下载:
  2. 秒表的实际功能很强大, 可以试试啊-miaobiao
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-29
    • 文件大小:27565
    • 提供者:其其
  1. miaobiao.RAR

    0下载:
  2. 实验采用七段码LED设计(数码管),显示直观;采用定时器中断,计时更准确;功能齐全,可随时启动、停止、清零,后者智能化程度更高。-Seven-Segment LED code using the experimental design (digital control), visual display using timer interrupt, a more accurate time functions, may at any time to start, stop, cleared,
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:34047
    • 提供者:cuipinpin
  1. miaobiao

    0下载:
  2. 单片机的秒表程序,经典的学习资料,通过验证,可以迅速的深入单片机编程的精髓-SCM stopwatch program, the classic study of information through the authentication, can be quickly in-depth the essence of single-chip programming
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1845
    • 提供者:nick
  1. miaobiao

    0下载:
  2. 数码管显示秒表(汇编),用动态扫描的方式进行显示,减少IO口-Digital display stopwatch (compilation), with dynamic scan showed that the way to reduce the IO I
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:11171
    • 提供者:joker
  1. miaobiao

    0下载:
  2. 在VC++平台下,利用计算机图形学中的算法,产生一运动效果的秒表-In VC++ platform, the use of computer graphics algorithms, resulting in the effect of a sports stopwatch
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-17
    • 文件大小:440056
    • 提供者:uncle yu
  1. miaobiao

    0下载:
  2. 单片机程序,控制数码管做跑表使用,最小计时单位0.01秒。-Single-chip process control using a digital stopwatch cylinder, the smallest unit of time 0.01 seconds.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1020
    • 提供者:zhoushiru
  1. miaobiao

    0下载:
  2. C51单片机学习的好实例,好好学习哟,-C51 single-chip learning good examples to learn yo,呵呵呵~ ~ ~ ~
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:10284
    • 提供者:Jonson
  1. miaobiao

    0下载:
  2. 单片机秒表程序,非常好的程序、供初学者学习。-SCM stopwatch procedures, very good program, for beginners.
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:8577
    • 提供者:weiwei
  1. miaobiao

    0下载:
  2. 用Verilog HDL编写的秒表设计,可以实现百分之一秒,十分之一秒,秒,十秒等功能。-Verilog HDL prepared with a stopwatch designed to achieve the hundredth of a second, one-tenth of seconds, seconds, 10 seconds and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6332
    • 提供者:maylag
  1. miaobiao

    0下载:
  2. 单片机实现秒表功能,主要通过单片机学习板上自带的液晶显示屏显示1234!-Single-chip realization of a stopwatch function, mainly through learning on-board single-chip LCD display built-in 1234!
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:12406
    • 提供者:陈楚鑫
  1. miaobiao

    0下载:
  2. 实现秒表的功能,从0到60的秒表计时,并具有可调功能,且在硬件上已实现成功。-To achieve the functions of a stopwatch, from the 0-60 time the stopwatch and have adjustable features, and hardware has been successful.
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:22164
    • 提供者:rain
« 1 23 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com