CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - modelsim matlab

搜索资源列表

  1. firmatlab

    0下载:
  2. fir在dspbuilder下产生VHDL源码及其测试激励文件时的matlab模型,在modelsim下仿真通过-fir in dspbuilder VHDL source code under test and document the incentive mat lab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6507
    • 提供者:zqh
  1. fftmatlab

    1下载:
  2. fft在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-fft in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7812
    • 提供者:zqh
  1. ddsmatlab

    0下载:
  2. dds在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-dds dspbuilder under the VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6896
    • 提供者:zqh
  1. mxuliematlab

    1下载:
  2. m序列在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-m sequence in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5676
    • 提供者:zqh
  1. sinmdlmatlab

    0下载:
  2. 正弦波在dspbuilder下产生VHDL源码及其测试激励文件的matlab模型,在modelsim下仿真通过-sine wave in dspbuilder under VHDL source code and test incentives document matl ab model, the simulation under through modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6169
    • 提供者:zqh
  1. DSP_BUILDER_DESIGN

    0下载:
  2. DSP Builder设计初步,介绍Matlab/DSP Builder及其设计流程,正弦信号发生器完整的设计过程,以及使用Matlab、quartusII\\modelsim详细的仿真过程。
  3. 所属分类:控制台(字符窗口)编程

    • 发布日期:2008-10-13
    • 文件大小:1370406
    • 提供者:yehui
  1. color_converter.tar

    0下载:
  2. 此代码实现不同图像颜色制式之间的相互转换,如XYZ<->RGB, 不同标准的RGB<->RGB 以及RGB<->YCbCr之间的转换,包内含有matlab仿真代码m文件、VHDL代码.v文件以及modelsim仿真的testbench文件,相信对大家有一定的帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:340094
    • 提供者:王弋妹
  1. Application_in_FPGA_design_of_Matlab_simulink

    0下载:
  2. 分析了MATLAB/Simulink 中DSP Builder 模块库在FPGA 设计中优点, 然后结合FSK 信号的产生原理,给出了如何利用DSP Builder 模块库建立FSK 信号发生器模 型,以及对FSK 信号发生器模型进行算法级仿真和生成VHDL 语言的方法,并在modelsim 中对FSK 信号发生器进行RTL 级仿真,最后介绍了在FPGA 芯片中实现FSK 信号发生器的设 计方法。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:275857
    • 提供者:普林斯
  1. DCT

    0下载:
  2. altera fpga verilog 设计的基于查找表的DCT程序及zigzag扫描程序,已经过matlab 和modelsim 验证,文件中包含TESTBENCH ,直接可用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:15401328
    • 提供者:alison
  1. Matlab与Modelsim联调

    0下载:
  2. Matlab与Modelsim联调的教程
  3. 所属分类:文档资料

    • 发布日期:2009-03-31
    • 文件大小:251314
    • 提供者:houximei456
  1. 数字滤波器设计论文

    0下载:
  2. 本文分析了国内外数字滤波技术的应用现状与发展趋势,介绍了数字滤波器的基本结构,提出了一种基于Matlab和Modelsim软件的数字滤波器设计方法
  3. 所属分类:文档资料

    • 发布日期:2012-01-12
    • 文件大小:3109888
    • 提供者:spwnul
  1. matlab_modelsim

    0下载:
  2. Matlab 与 modelsim 协同仿真的例程设置好modelsim运行环境后,在源码路径执行manchester_tb,即可完成协同仿真的过程。-ModelSim co-simulation with Matlab routine ModelSim runtime environment is set up after the implementation of source path manchester_tb, to complete the process of co-simulat
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:42030
    • 提供者:yangyu
  1. Matlab_and_Modelsim_Codebug

    0下载:
  2. matlab与modelsim的联调教程,pdf格式的-matlab FBI and the modelsim tutorial, pdf format
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:251309
    • 提供者:虚竹
  1. cordic_atan

    5下载:
  2. 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权,需要的同学可以自己加上。-Calculated usin
  3. 所属分类:数学计算/工程计算

    • 发布日期:2014-01-08
    • 文件大小:80195
    • 提供者:Jorge
  1. fir-filter-in-Matlab-and-Modelsim

    0下载:
  2. 基于DSP Builder的fir滤波器,及在Modelsim上仿真工程文件,是在做基于FPGA的fir滤波器的一部分-The DSP Builder-based fir filter, and on the simulation project file in Modelsim is doing FPGA-based fir filter part of the
  3. 所属分类:DSP program

    • 发布日期:2017-05-27
    • 文件大小:10390128
    • 提供者:pei
  1. fir_lowpass

    0下载:
  2. 硬件语言实现数字低通滤波器,使用ise11.1和modelsim se6.5 仿真测试-Hardware language digital low pass filter, the use of simulation testing ise11.1 and modelsim se6.5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:546058
    • 提供者:linzi
  1. Matlab-and-Modelsim

    0下载:
  2. matlab怎么把数据输入到modelsim,文件读写的问题-data write and read from matlab to modelsim
  3. 所属分类:Communication

    • 发布日期:2017-11-01
    • 文件大小:160440
    • 提供者:xiaowang
  1. FFT64DIF

    0下载:
  2. 实现64点的快速傅里叶运算,并用modelsim、matlab仿真。(Achieve fast Fourier operations at 64 points, and use Modelsim, matlab simulation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:20699136
    • 提供者:chenmm
  1. fir

    0下载:
  2. MATLAB设计fir数字滤波器 , 结合modelsim软件仿真。(MATLAB design FIR digital filter)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:940032
    • 提供者:眼前人
  1. quartus和modelsim中使用mif和hex文件1

    0下载:
  2. quartus和modelsim中使用mif和hex文件1(fpga modelsim mif hex)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:52224
    • 提供者:打酱油啊
« 12 3 »
搜珍网 www.dssz.com