CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - motion estimation verilog

搜索资源列表

  1. MotionEstimation_project_code

    0下载:
  2. Use verilog and VHDL to implement the Motion Estimation function, work as the hardware accelerator.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:315929
    • 提供者:Ray Luo
  1. yedek_son

    0下载:
  2. a basic Mode Decision hardware for Variable Block Size Motion Estimation in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1986
    • 提供者:dumbmage
搜珍网 www.dssz.com