CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - motion verilog

搜索资源列表

  1. MotionEstimation_project_code

    0下载:
  2. Use verilog and VHDL to implement the Motion Estimation function, work as the hardware accelerator.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:315929
    • 提供者:Ray Luo
  1. Inter_mv_decoding

    0下载:
  2. 所属分类:Special Effects

    • 发布日期:2017-04-15
    • 文件大小:8267
    • 提供者:haifeng
  1. yedek_son

    0下载:
  2. a basic Mode Decision hardware for Variable Block Size Motion Estimation in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1986
    • 提供者:dumbmage
  1. MODELSYS

    2下载:
  2. 用verilog编写的运动自适应去隔行算法 表扩边缘检测 sad最小值编写-Verilog written with motion-adaptive deinterlacing algorithm detects the edge of the table to expand the minimum write sad
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:10872006
    • 提供者:权晶
  1. PCI_top

    0下载:
  2. 这是PCI运动控制卡的核心代码,我的一个项目程序,很好用,verilog 语言编写。-This is a PCI motion control card core code, I have a project program, easy to use, verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1516939
    • 提供者:胡红伟
  1. shiyan

    0下载:
  2. 0到59分59秒运动计时器,带有复位开始暂停按键功能(0 to 59 minutes and 59 seconds of motion timer with reset pause button start function)
  3. 所属分类:硬件设计

    • 发布日期:2018-01-04
    • 文件大小:534528
    • 提供者:SFSGE24
搜珍网 www.dssz.com