CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - multiplexer

搜索资源列表

  1. mp4creator-win32-1.6.1e-pre

    0下载:
  2. A MPEG4 Multiplexer/Demultiplexer to create IETF standards-conform streams with additional Quicktime support for chapters and anamorphic resizing
  3. 所属分类:Graph program

    • 发布日期:2017-03-25
    • 文件大小:238322
    • 提供者:yanyuan
  1. multiplexer

    0下载:
  2. 几种常用乘法器的Verilog、VHDL代码-Several common multiplier Verilog, VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:267476
    • 提供者:kk
  1. 4to1MUX

    0下载:
  2. Verilog code for 4 t0 1 multiplexer
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:2260
    • 提供者:wajahat
  1. Multiplexer

    0下载:
  2. 这是一个用vhdl硬件描述语言实现的乘法器而不是多路选择器-this is an implimentation of an multiplier rather than multiplexer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:145890
    • 提供者:maxpayne
  1. 16-1MUX

    0下载:
  2. 16 down to 1 Multiplexer in Vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:65025
    • 提供者:Abdullah
  1. multiplexer

    0下载:
  2. implementation of multiplexer circuit-implementation of multiplexer circuit..
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:157697
    • 提供者:zeeshan
  1. multiplexer

    0下载:
  2. multiplexer xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:310359
    • 提供者:kkkkkk
  1. multiplexer

    0下载:
  2. A program for a simple multiplexer using modelsimSE6.3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:8704
    • 提供者:Subhaiit
  1. multiplexersemultiplexer

    0下载:
  2. this project is based on 2*1 and 4*1 multiplexer and 1*2 and 1*4 demultiplexer using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be us
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:90783
    • 提供者:jatab
  1. multiplexer

    0下载:
  2. this is a multiplexer
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-14
    • 文件大小:3939
    • 提供者:eminem
  1. Multiplexer

    0下载:
  2. 這是一個4位元的多工器,是由一個2位元的多工器所構成-This is a 4-bit multiplexer is determined by a 2-bit multiplexer posed by
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:83455
    • 提供者:tw
  1. AD7992

    0下载:
  2. The AD7992 is a 12-bit, low power, successive approximation ADC with an I2C-compatible interface. The part operates from a single 2.7 V to 5.5 V power supply and features a 2 μs conver-sion time. The part contains a 2-channel multiplexer and track-an
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:735918
    • 提供者:d
  1. multiplexer

    0下载:
  2. 一个关于gsm协议的 multiplexer protocol 的研究笔记 希望大家可以从中受益-An agreement on the multiplexer protocol gsm research notes hope that we can benefit from
  3. 所属分类:Com Port

    • 发布日期:2017-03-27
    • 文件大小:214202
    • 提供者:lidengtao
  1. Multiplexer

    0下载:
  2. Source code of multiplexer on VHDL. The compilation is done in Quartus II for Cyclone II.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:458718
    • 提供者:Dave
  1. 5-multiplexer

    0下载:
  2. five multiplexer, verilog, altera de2 board~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2120
    • 提供者:KYchocz
  1. Multiplexer-Description

    0下载:
  2. 通过应用QUARTUSII开发软件对二选一多路选择器进行设计并运行结果-Software development through the application of QUARTUSII choose one of two multiplexer design and operation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10382
    • 提供者:renee
  1. Multiplexer-Description2

    0下载:
  2. 通过应用QUARTUSII开发软件对 四选一多路选择器进行设计,并给出运行结果-Software development through the application of QUARTUSII choose one of four multiplexer design, and operating results are given
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:14587
    • 提供者:renee
  1. sim900-Multiplexer-Manual

    1下载:
  2. sim900 多路复用手册 使用介绍 sim900 Multiplexer Manual-sim900 Multiplexer Manual
  3. 所属分类:File Formats

    • 发布日期:2016-12-13
    • 文件大小:236544
    • 提供者:yangzhengfu
  1. Multiplexer

    0下载:
  2. example for multiplexer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:176197
    • 提供者:huubinh
  1. Synchronous-multiplexer

    0下载:
  2. 四路复接器设计,调用分频器,时序发生器,译码器,内码器等实现-The Design of Synchronous multiplexer Based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:445295
    • 提供者:尧真
« 12 3 4 5 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com