CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - oscilloscope fpga vhdl

搜索资源列表

  1. 基于FPGA的数字信号显示系统软硬件设计

    1下载:
  2. 该文阐述了现场可编程逻辑器件FPGA的主要特点,应用FPGA芯片和VHDL硬件描述语言设计的模拟示波器数字信号显示系统的设计原理和设计方法。-this paper, the field programmable logic devices FPGA main feature FPGA chip and VHDL hardware descr iption language design analog signals to digital oscilloscope system design pr
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:439454
    • 提供者:张志华
  1. FPGA-based_oscilloscope

    0下载:
  2. FPGA-based_oscilloscope,VHDL写的实现 示波器的程序,及完整的工程描述文档-FPGA-based_oscilloscope. VHDL was oscilloscope to achieve the realization of the process, and complete the project descr iption document
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:228778
    • 提供者:严刚
  1. EP1C3_12_5_RSV

    2下载:
  2. 基于FPGA的数字存储示波器,用VHDL实现的,压缩包里是Quartus工程。AD采样送进FPGA,存入SRAM后用DA在普通示波器上可以显示。-FPGA-based digital storage oscilloscope, using VHDL achieved compression is Quartus project bag. AD sample into FPGA, after SRAM into DA in ordinary oscilloscope can display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:61189
    • 提供者:deadtomb
  1. digitaloscilloscope

    0下载:
  2. This digital oscilloscope takes a MCU and FPGA as the core. We made emphases on the choice of the sampling methods and the implement of equivalent sampling as a result, our design not only has the real-time sampling mode but also can reach the highes
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2179723
    • 提供者:荣超群
  1. ADC0809

    0下载:
  2. VHDL写的ADC0809的控制转换程序,很容易就看懂的,结构明晰,还有示波器输出模式。-ADC0809 write VHDL control the conversion process, it is easy to understand, and the structure of clarity, as well as the output mode oscilloscope.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1061
    • 提供者:袁野
  1. jj

    1下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形的单次触发、连续触发和存储回放功能,并按要求进行了垂直灵敏度和扫描速度的挡位设置。信号采集时,将外部输入信号经信号调理模块调节到A/D电路输入范围,经A/D转换后送入FPGA内部的双口RAM进行高速缓存,并将结果通过D/A转换送给通用示波器进行显示,完成了对中、低频信号的实时采样和高频信号的等效采
  3. 所属分类:其他小程序

    • 发布日期:2017-06-10
    • 文件大小:546457
    • 提供者:黄奇家
  1. Digital_oscilloscope_VHDL

    1下载:
  2. 利用VHDL语言编写数字示波器的程序,下载入FPGA中可实现。在Quartus7.1编译环境中已经测试通过。-Digital oscilloscope using VHDL language program, download into the FPGA can be achieved. In Quartus7.1 build environment has been tested.
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-10
    • 文件大小:2179756
    • 提供者:胡亚东
  1. Oscilloscope

    0下载:
  2. The design is designed partly in VHDL, partly in schematic drawings and targets a Xilinx Spartan-2E FPGA. However, since the design was tailored specifically for the aforementioned boards it is highly unlikely that it can be ported to other hardware.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1854488
    • 提供者:sami
  1. A_digital_WaveformGenerator_and_Oscilloscope_based

    0下载:
  2. 一种基于BASYS开发板(Xilinx Spartan-3E FPGA)的波形发生器和示波器的设计,可以产生多种可调波形,并实时显示在电脑显示器或者投影仪上。波形发生器采用基于ROM的数字控制振荡器(NCO)实现,示波器采用VGA接口实时显示。-A kind of digital WaveGenerator and Oscilloscope based on tne BASYS experiment board which has a Xilinx Spartan-3E FPGA on it.T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-08-29
    • 文件大小:3417088
    • 提供者:张文
  1. digital-storage-oscilloscope

    0下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形-The problem to design a digital storage oscilloscope, to Xilinx, Inc. 200,000 FPGA chip as the core, supplemented by the necessary peripherals (incl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14371
    • 提供者:Jasen
  1. equivalent_sample----vhdl

    0下载:
  2. 基于FPGA的数字示波器的整体设计与实现的各种方案,采用等精读测频率,数据的采集等多项技术的分析-FPGA-based digital oscilloscope of the overall design and implementation of various programs, such as intensive use of the frequency measurement, data collection and many other technical analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2452210
    • 提供者:杨前
  1. sbq

    1下载:
  2. 基于fpga和传统示波器工作方式的vhdl程序,通过ad0809采样信号(可兼容tlc5510)再经由8位da转换输出,同时输出外触发锯齿波,建议使用感性小的示波器探头,否则锯齿波低频时会出现失真-Fpga-based and traditional ways of working oscilloscope vhdl procedures, through ad0809 sampling signal (compatible tlc5510) and then through eight da
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:6238208
    • 提供者:朱志超
  1. zxb

    0下载:
  2. 利用VHDL语言编程产生正弦信号,熟悉介绍了LPM_ROM与FPGA硬件资源的使用方法,包括仿真和资源利用情况了解,包括SignalTap II测试、FPGA中ROM的在系统数据读写测试和利用示波器测试。完成了配置器件的编程。-Using VHDL language programming sinusoidal signal, using the method described LPM_ROM familiar with FPGA hardware resources, including s
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:322048
    • 提供者:李小花
  1. sin_rising_judge

    0下载:
  2. 这是用vhdl编写的正弦波触发程序,用单片机和fpga做示波器时,可以参考一下这个触发程序。-It is written by vhdl sine trigger when MCU and fpga do oscilloscope, you can refer to the trigger.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:612
    • 提供者:殷超
搜珍网 www.dssz.com