CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - processor vhdl

搜索资源列表

  1. simple_processor_code

    0下载:
  2. this code for a simple processor-this is code for a simple processor
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:10638
    • 提供者:HIMANSHU SINGH
  1. spi_interface

    0下载:
  2. 介绍了如何用vhdl语言实现处理器的spi接口-Describes how to use VHDL language processor spi interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:497014
    • 提供者:david
  1. verilog_risc

    0下载:
  2. RISC状态机由三个功能单元构成:处理器、控制器和存储器。 RISC状态机经优化可实现高效的流水线操作。 RISC 中的数据线为16位。 在数据存储器中的0到15的位置放置16个随机数,求16个数的和,放在数据存储器的16、17的位置,高位在前 对这16个数进行排序,从大到小放置在18到33的位置 求出前16个数的平均数,放在34的位置 基本指令有NOP, ADD, SUB, AND, RD, WR, BR,BC。 因为采用16位指令,有扩充的余地。-RISC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:129602
    • 提供者:lyn
  1. ARM_and_Verilog

    0下载:
  2. arm处理器的vhdl源代码编写,可以参考-arm processor VHDL source code to prepare, can refer to
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:3227080
    • 提供者:黄伟
  1. ce3100-datasheet

    0下载:
  2. 机顶盒 set top box 设计参考。intel media processor CE 3100 .功能非常强大!-STB set top box reference design. intel media processor CE 3100. very powerful!
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:1342735
    • 提供者:姚建平
  1. SYNTHPIC.ZIP

    0下载:
  2. The Synthetic PIC Verion 1.1 This a VHDL synthesizable model of a simple PIC 16C5x microcontroller. It is not, and is not intended as, a high fidelity circuit simulation. This package includes the following files. Note that the licen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:48670
    • 提供者:likui
  1. FPGA_FFT

    0下载:
  2. 基于FPGA的高速FFT处理器的设计与实现-FPGA-based high-speed FFT Processor Design and Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:74381
    • 提供者:萧球水
  1. code

    0下载:
  2. 一个8位微处理器的VHDL代码以及testbench-8-bit processor VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8529
    • 提供者:fei
  1. fpgafft

    0下载:
  2. :文章针对目前数字信号处理中大量采用的快速傅立叶变换[FFT] 算法采用软件编程来处理的应用现状,在对FFT 算法进行 分析的基础上,给出了用FPGA[Field Programmable Gate Array] 实现的8 点32 位FFT 处理器方案,并得到了系统的仿真结果。 最后在Altera 公司FLEX10K系列FPGA 芯片上成功地实现了综合。-Based on the analysis of the FFT algorithm , a reasonable logic str
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:220333
    • 提供者:王晓
  1. 68K_ebiu

    0下载:
  2. It contains a vhdl descr iption of the external bus interface unit for 68000 processor. currently only read and write cycle are supported
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3135
    • 提供者:Pankaj
  1. processor.tar

    0下载:
  2. i need of vhdl code for 32-bit risc processor
  3. 所属分类:Editor

    • 发布日期:2017-04-07
    • 文件大小:48589
    • 提供者:ganesh
  1. PROCESSOR

    0下载:
  2. PROCESSOR is a design with simple microprocessor implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:94878
    • 提供者:leiyu
  1. FFT-FPGA

    0下载:
  2. FFT处理器的FPGA设计方法,适合做信号处理的技术人员参考,用FPGA实现-FFT processor, FPGA design, suitable for signal processing technology for reference, using FPGA to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:204331
    • 提供者:bonjour
  1. FFTdesign

    0下载:
  2. FFT处理器设计及其应用研究,适合做fpga信号处理的技术人员参考-FFT processor design and applied research, suitable for signal processing fpga technology reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3174678
    • 提供者:bonjour
  1. High-speedhighperformanceFFT

    0下载:
  2. 高速高性能FFT处理器的VLSI实现研究,适合做FPGA的技术人员参考研究FFT-High-speed high-performance FFT processor VLSI realization of research, suitable for FPGA technology reference study FFT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5283310
    • 提供者:bonjour
  1. FPGA-basedrealizationoftheFFT

    0下载:
  2. 基于FPGA的FFT处理器的实现,适合做fpga的工程技术人员参考-FPGA-based realization of the FFT processor, suitable for the engineering and technical personnel fpga reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1097503
    • 提供者:bonjour
  1. GuitarProcessor

    1下载:
  2. Electric Guitar Digital Effects Processor
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-30
    • 文件大小:754641
    • 提供者:isoft
  1. RISC

    0下载:
  2. source and benchmark test for the registery parts of a RISC processor-source and benchmark test for the registery parts of a RISC processor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:158610
    • 提供者:radproject
  1. leon3

    0下载:
  2. 这个一个基于amba总线的leon3处理器的vhdl语言程序描述,学习fpga总线开发的请看-The amba bus-based processor vhdl language leon3 procedures described in the study developed fpga see bus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2167
    • 提供者:cws
  1. 87361039

    0下载:
  2. 于一个8位处理器的分析,和源代码,VHDL语言设计,经过测试-An 8-bit processor in the analysis, and source code, VHDL language design and tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:92518
    • 提供者:hbei
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com