CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ps2 keyboard vhdl

搜索资源列表

  1. PS2

    0下载:
  2. 此代码是PS2键盘的Verilog程序,键盘的字符可显示在LCD 1602上,经上板调试程序是可行的-This code is a PS2 keyboard Verilog program, keyboard characters can be displayed on the LCD 1602, after the board debug process is feasible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:8723
    • 提供者:Evan Xie
  1. PS2

    0下载:
  2. 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-30
    • 文件大小:479345
    • 提供者:cx
  1. ps_2_keyboard

    0下载:
  2. 用VHDL语言编写的ps2键盘程序,可以在de2实验板上运行。初学者适用-VHDL language with the ps2 keyboard program, you can experiment in the de2 board to run. For beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1049720
    • 提供者:dingxing
  1. ps2

    0下载:
  2. vhdl实现ps2接口的程序,可以接受键盘的输入或者鼠标的输入.-VHDL procedures to achieve ps2 interface can accept keyboard input or mouse input.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:939
    • 提供者:liaocongliang
  1. ps2

    0下载:
  2. 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8153203
    • 提供者:蹇清平
  1. S9_PS2_LCD

    0下载:
  2. 键盘输入液晶模块显示字符,在液晶显示屏上显示从PS2键盘输入的字符-Keyboard input LCD display module characters displayed in the LCD screen from the PS2 keyboard input characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:766503
    • 提供者:zl.yin
  1. FPGA-PS2-interface

    1下载:
  2. FPGA的PS2口接口程序,可识别PS2口键盘的输入-FPGA-PS2 port interface program to identify the mouth PS2 keyboard input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:666082
    • 提供者:冀少威
  1. ps2

    0下载:
  2. 实现ps2键盘功能,可实现电脑26个按键的功能。-Achieve ps2 keyboard function, a computer keyboard functions
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1970
    • 提供者:赵朴
  1. Ps2-ALL

    0下载:
  2. PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1058538
    • 提供者:rutingfeng
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. PS2

    0下载:
  2. a document for ps/2 keyboard controller
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-05
    • 文件大小:199348
    • 提供者:ROMY
  1. ps22

    0下载:
  2. ps2键盘控制器的VHDL代码,个人感觉还是有一定的借鉴价值的,和大家分享一下吧。-ps2 keyboard controller VHDL code, personal feelings, or have a certain reference value, and share with you Bar.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:621243
    • 提供者:yangzehui
  1. ps2

    0下载:
  2. PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:601330
    • 提供者:洪依
  1. Kbtestbench

    0下载:
  2. VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2279
    • 提供者:hongwan
  1. keyboard_vhdl

    0下载:
  2. ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-29
    • 文件大小:637669
    • 提供者:arekk
  1. PS2

    0下载:
  2. A PS2 core on SOPC.You can use it to control a mouse or a ATA keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:219674
    • 提供者:lenam
  1. ps2

    0下载:
  2. 使用fpga 10k20 和dac0832构成一个音乐发生器,按键采用ps2键盘。可以产生32个音阶-Using fpga 10k20 and dac0832 form a music generator, using ps2 keyboard keys. Can produce 32 scales
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:67439
    • 提供者:王志华
  1. keyboard_PS2

    0下载:
  2. This program provides the communication between keyboard PS2 with DE1 KIT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:815315
    • 提供者:QuocHuyHoang
  1. PS2-keyboard-controller-design

    0下载:
  2. PS2 keyboard controller design PS2 键盘控制设计-PS2 keyboard controller design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:871734
    • 提供者:liu
  1. PS2-keyboard

    0下载:
  2. fpga的ps2-键盘数码管显示程序,包括vhdl,顶层文件,工程文件-fpga of ps2-keyboard digital tube display program, including vhdl, top-level files, project files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:515888
    • 提供者:zhouhengjun
« 12 3 4 »
搜珍网 www.dssz.com