CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - qpsk fpga vhdl

搜索资源列表

  1. QPSKvhdl.rar

    0下载:
  2. QPSK的VHDL调制解调 FPGA设计思路思想,QPSK modulation and demodulation of the VHDL design thinking FPGA
  3. 所属分类:Compiler program

    • 发布日期:2017-04-09
    • 文件大小:1615721
    • 提供者:liming
  1. qpsk

    1下载:
  2. qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1533
    • 提供者:张维
  1. qpsk

    0下载:
  2. qpsk vhdl code ue to impelemented on fpga kits
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-23
    • 文件大小:6518
    • 提供者:ahmed
  1. FPGAQPSK

    0下载:
  2. 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the corr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:41259
    • 提供者:杨杨
  1. QPSK

    1下载:
  2. OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:800
    • 提供者:周美红
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. QPSK

    0下载:
  2. 通过MATLAB对QPSK进行仿真,再在FPGA中实现QPSK的基本功能-By MATLAB simulation of QPSK, and then in the FPGA to implement the basic functions of QPSK
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:3825
    • 提供者:洪依
  1. QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调电路设计与实现 -QPSK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:41664
    • 提供者:冯晓昊
  1. QPSK

    0下载:
  2. 用FPGA实现QPSK调制的4篇论文,对用FPGA开发QPSK很有帮助!-QPSK modulation with FPGA Implementation of 4 papers on the use of FPGA development QPSK helpful!
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:853244
    • 提供者:FPGA_VHDL
  1. QPSK_modulator_demodulator

    0下载:
  2. Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to loc
  3. 所属分类:Compiler program

    • 发布日期:2017-04-01
    • 文件大小:842
    • 提供者:松松
  1. Costas

    1下载:
  2. 介绍了某直接序列扩频、QPSK调制系统接收通道中四相Costas 载波跟踪环的原理及其基于 DSP+FPGA 的实现-Introduced a direct-sequence spread spectrum, QPSK modulation system, receive path Costas carrier tracking loop four-phase principle and its implementation based on DSP+ FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:562345
    • 提供者:fy
  1. qpsk_PRJ

    0下载:
  2. 利用FPGA实现qpsk,ISE工程文件及代码-realize the QPSK by FPGA using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:10039296
    • 提供者:王婷婷
  1. QPSK-by-FPGA

    0下载:
  2. 基于FPGA的QPSK调制与解调的实现,使用VHDL语言编写-Implementation of FPGA modulation and demodulation based on QPSK, using VHDL language
  3. 所属分类:Communication

    • 发布日期:2017-05-07
    • 文件大小:1362897
    • 提供者:wisdom
  1. FPGA_QPSK

    0下载:
  2. 本设计是基于FPGA技术来实现QPSK 数字调制传输系统。通过VHDL语言基于 FLEXlOK芯片完成了QPSK数字调制系统 的调制与解调模块、位同步信号恢复模块、 帧同步信号提取模块,数据采集模块、数据 恢复等模块的设计与仿真。-This design is based on FPGA technology to achieve QPSK Digital modulation transmission system. Based on the VHDL language
  3. 所属分类:Project Design

    • 发布日期:2017-05-04
    • 文件大小:218132
    • 提供者:周能斌
搜珍网 www.dssz.com