CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus

搜索资源列表

  1. 190.7_Freq_divider

    0下载:
  2. QUARTUS II环境下VHDL编写的小数点分频器程序,实现190.7分频,可以将50MHz时钟频率分频成约等于2^21Hz频率,方便特殊情况下的运算-QUARTUS II, prepared under the decimal divider VHDL program to achieve 190.7 frequency, you can divide into a 50MHz clock frequency is about equal to 2 ^ 21Hz frequency, eas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:328039
    • 提供者:骆东君
  1. fftsoft

    0下载:
  2. 应用altera的最新fft核做的使用范例,fft核遵循avalon总线。对于想使用altera的IP core的朋友有帮助-Application of nuclear altera do the latest example of the use fft, fft nuclear follow avalon bus. Who want to use the IP core of friends altera help
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:4036450
    • 提供者:样样
  1. quartusII203quartusii

    0下载:
  2. 讲解quartus的一些基本操作,如设计输入,综合,布局布线,下载-Quartus explain some basic operations such as design entry, synthesis, layout, downloads
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:845262
    • 提供者:郭淮
  1. Verilogexamples

    0下载:
  2. Verilog初学编程实例,包括源程序及QuartusⅡ仿真结果,适合初学者了解学习-Verilog beginner programming examples, including source code and Quartus Ⅱ simulation results, suitable for beginners to understand the learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3635981
    • 提供者:kinderce
  1. altera_de2_vhdl

    0下载:
  2. Tutorial of VHDL with Altera DE2 board: quartus II and DE2 board The target do the BCD sum of input data coded with the switches and display the result on 7 segment display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:590232
    • 提供者:candido
  1. total_game

    0下载:
  2. 用VHDL编写的小游戏,采用FPGA开发板,外接键盘和数码管可实现。 Quartus II上运行通过,并用FPGA实现。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1802689
    • 提供者:余小倩
  1. singet

    0下载:
  2. quartus II环境下正选波发生器源代码 下载后可通过内嵌逻辑分析仪观测波形-quartus II environment, elections wave generator is download the source code can be embedded logic analyzer waveform observation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1447984
    • 提供者:aimeelove
  1. quartus_ii_tutorial_hierarchical

    0下载:
  2. quartus guide book for verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:235394
    • 提供者:gokul
  1. quartus_ii_tutorial

    0下载:
  2. quartus- II tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:279170
    • 提供者:gokul
  1. DAC902

    0下载:
  2. DAC902测试 Quartus II 实现的-DAC902 test Quartus II implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:882491
    • 提供者:叶才三
  1. 8255_VHDL_source

    0下载:
  2. 基于quartusII的8255设计方案,采用硬件描述语言VHDL描述,很好的实现了8255通用接口芯片的设计-a project about 8255 chip based on quartusII,discr ipted by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:284064
    • 提供者:voncedar
  1. seg4_to_7

    0下载:
  2. 7段数码管译码器,在quartus里面实现,4为二进制数转换为7段数码管显示方式的二进制数-7 digital control decoder, which achieved in quartus, 4 for the binary number is converted to 7-segment digital display means of a binary number
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1366
    • 提供者:张道宁
  1. shiyan3

    1下载:
  2. 在quartus中打开,这是4位无符号数乘法器的bdf电路图。很精髓!-Open in quartus, which is 4 bit unsigned number bdf multiplier circuit. Very essence!
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:7750
    • 提供者:张道宁
  1. 2010_07_01_VHDL

    1下载:
  2. 基于VHLD和Quartus II 8.0 的抢答器和交通灯程序。 -Based VHLD and Quartus II 8.0 of the Responder and the traffic light program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2256088
    • 提供者:
  1. 21840261RS(32to28)encoderanddecodervhdl

    0下载:
  2. (32,28)编码和译码程序 ,基于vhdl来实现的,并且在quartus中运行实现-(32,28) coding and decoding process, based on vhdl to achieve, and run to achieve in quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2208568
    • 提供者:wmm
  1. Quartus_CRACK

    0下载:
  2. Quartus_CRACK_license.dat破解文件,对初学软件的朋友有用。-Quartus_CRACK_license.dat crack file, be useful for beginners software friends.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:314993
    • 提供者:WANG
  1. VtoRGB

    1下载:
  2. Verilog写得BT656视频数据转为RGB数据的Quartus工程文件!-The verilog module for changing BT656 data to RGB data!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-07
    • 文件大小:149504
    • 提供者:GC
  1. MCU_FPGA_62256

    0下载:
  2. 单片机控制FPGA实现62256的读写功能的程序,使用Quartus II平台进行开发。-Microcontroller FPGA to read and write functions to achieve 62 256 procedures, the use Quartus II development platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:87986
    • 提供者:zhouming
  1. led_test

    0下载:
  2. LED测试程序工程文件,VHDL代码,在Quartus II 6.0中测试通过。-led vhdl test programe in Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:170816
    • 提供者:程光
  1. cnt_test

    0下载:
  2. 用Quartus ii 6.0开发的计数器工程文件,用VHDL语言编写-Counter programe used in VHDL,devlopment tool:Quartus ii 6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:159364
    • 提供者:程光
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com