CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus cpu

搜索资源列表

  1. risc cpu

    0下载:
  2. 一个很好的16位cpu ip内核,用quartus写的
  3. 所属分类:VHDL编程

    • 发布日期:2010-12-19
    • 文件大小:5888
    • 提供者:kingkoyan
  1. ALU

    1下载:
  2. vhdl代码 使用quartus编译 cpu中 alu的设计 可作为课程设计的参考 此为16的运算器-VHDL code using Quartus compiler cpu in alu design of curriculum design can be used as a reference for this for 16 computing device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1081
    • 提供者:闵瑞鑫
  1. CPU

    0下载:
  2. 一个多周期CPU的完整设计,quartus平台,Verilog实现,内含实验报告,和详细的各模块功能表-Complete a multi-cycle CPU design, quartus platform, Verilog implementation, includes lab reports, and a detailed menu of each module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:6606848
    • 提供者:
  1. CPU8051V1

    0下载:
  2. 可用的CPU8051,已经多个项目验证,希望更多的朋友使用它开放!-CPU8051
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-02
    • 文件大小:86022
    • 提供者:凌朝东
  1. niosII_system_cpu

    0下载:
  2. cpu代码,可在ISE或quartus下完成调试-cpu code, can be accomplished under the ISE or Quartus debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:12982
    • 提供者:
  1. LCD

    0下载:
  2. Quartus, Sopc Builder搭建的CPU,通过NIOS控制LCD。工程文件。-Quartus, Sopc Builder to build the CPU, through the NIOS control LCD. Engineering documents.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-12
    • 文件大小:851212
    • 提供者:小杨
  1. pipeline

    0下载:
  2. 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-11
    • 文件大小:3029212
    • 提供者:kevin
  1. Microprogramcontroller

    0下载:
  2. 微程序控制器部件实验,使用VHDL语言使用Quartus测试通过,模拟CPU-Micro-program controller component experiments, the use of VHDL language use Quartus test, simulation CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:753045
    • 提供者:糖糖
  1. mips

    0下载:
  2. MIPs CPU,VERILOG代码,经过QUARTUS综合,时序分析,验证无误。-MIPS CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:5137
    • 提供者:王龙
  1. PipelineCPU

    0下载:
  2. Quartus II 7.2环境中,采用硬件描述语言VHDL独立完成了基于MIPS指令集的32位RISC处理器的逻辑设计-quartusII mips pipeline 32bit cpu design
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:847747
    • 提供者:znl
  1. CPU

    0下载:
  2. 一个完整的流水CPU设计,quartus平台,Verilog实现-CPU design a complete water, quartus platform, Verilog realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1100704
    • 提供者:
  1. danzhouqiCPU

    1下载:
  2. VHDL单周期CPU设计,基于Quartus II 开发平台-VHDL single-cycle CPU design, Quartus II development platform based on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1587047
    • 提供者:逆天之刃
  1. cpu

    0下载:
  2. 一个简单的CPU设计,支持add,sub,mvi,mv四条指令,用Verilog语言编写,在Quratus II上编译通过,仿真正确。-A simple CPU design, support add, sub, mvi, mv four instructions, with the Verilog language, compiled by the Quratus II, the simulation is correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:931581
    • 提供者:姜涛
  1. CPU

    0下载:
  2. 这是用Quartus II 6.0做的CPU实验,是计算机组成原理专题实验。-This is done using Quartus II 6.0 CPU experiment, experimental feature is the computer organization.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-24
    • 文件大小:309248
    • 提供者:wangbluer
  1. CPU

    0下载:
  2. 武汉大学计算机组成原理综合实验 quartus cpu 模型-Wuhan University, Computer Organization Model of Integrated Experiment quartus cpu
  3. 所属分类:Other systems

    • 发布日期:2015-04-01
    • 文件大小:902144
    • 提供者:AA
  1. cu

    0下载:
  2. 基于quartus的CPU设计中核心部件,控制存储器的架构-Quartus CPU design based on the core components, control memory architecture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:444275
    • 提供者:liu
  1. CPU

    0下载:
  2. 4位和8位,8运算,QUARTUS简易处理器,能在Quartus上运行-4 and 8-bit, 8 operations, QUARTUS simple processors, can run on the Quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6566766
    • 提供者:朱源文
  1. cpu

    0下载:
  2. 这是一个quartus语言编写的单周期cpu,可以进行运算、存储等功能。-This is a quartus language of single-cycle CPU, computing, storage and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3644
    • 提供者:baotieyun
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. cpu

    0下载:
  2. 8位实验CPU设计利用设计好的指令系统,编写汇编代码,以便测试所有设计的指令及指令涉及的相关功能。设计好测试用的汇编代码后,然后利用Quartus II软件附带的DebugController,编写汇编编译规则。接着,利用DebugController软件把汇编编译之后的二进制代码置入到所采用的存储器中,并对设计好的8位CPU进行测试。-Eight experiments designed CPU design using the instruction set, write assembly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3375111
    • 提供者:Bingo
« 12 3 »
搜珍网 www.dssz.com