CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ram 乒乓

搜索资源列表

  1. UDLOGERV1.0back

    0下载:
  2. at91rm9200乒乓算法采集两块双端口RAM中的数据存储到SD卡
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:137655
    • 提供者:王金锋
  1. dpram2.ram的读写,使用状态机完成

    0下载:
  2. ram的读写,使用状态机完成,两片ram实现乒乓操作,ram read and write, using the state machine completed, two ping-pong operation to achieve ram
  3. 所属分类:并行运算

    • 发布日期:2016-10-14
    • 文件大小:1418
    • 提供者:李群
  1. ram

    0下载:
  2. 一个用VHDL语言编写的双端口存储器程序,可下载在FPGA中使用-Written in VHDL language using a dual-port memory program can be downloaded in the FPGA using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4198
    • 提供者:cloudy
  1. caiji1

    0下载:
  2. 利用两个双口ram做的乒乓操作,采集高速大容量数据,fpga写,arm读-Two dual-port ram to do the ping-pong operation, collecting high-speed large-capacity data, fpga write, arm reading
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6946332
    • 提供者:李遥
  1. ddpi_tx

    0下载:
  2. verilog语言编写的一个接口文件,使用乒乓ram-verilog language of an interface file, use the ping-pong ram
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:724
    • 提供者:yaop
  1. ramvhdllib_06

    0下载:
  2. The Free IP Project VHDL Free-RAM Core-The Free IP ProjectVHDL Free-RAM Core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:616220
    • 提供者:cathy
  1. pingpangVHDL

    0下载:
  2. 据说是 vhdl的乒乓ram 代码 提供给大家做个参考吧 -It is said VHDL code of the ping-pong ram available to the U.S. to be a reference to it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:919
    • 提供者:白饭
  1. real_module

    0下载:
  2. 对进来的数据进行乒乓操作,例如0-63出来的结果是31-0,63-32.进来和出去为同一时钟,且都是流水线方式,结构为双口RAM.-Ping-pong on the incoming data operations, such as 0-63, the results are 31-0,63-32. Come in and out of the same clock, and are pipelined, the structure of dual-port RAM.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1915223
    • 提供者:王海生
  1. SDRAMping-pong-memory-structure

    0下载:
  2. 双口RAM 的乒乓存储结构(芯片型号CY7C09279) 应用场合为FPGA向双口RAM不断写入数据,PCI总线从RAM读取数据。[已调试验证]-Dual-port RAM, ping-pong memory structure (chip model CY7C09279) applications for the FPGA to the dual-port RAM write data continuously, PCI bus read data from RAM. [Debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1102
    • 提供者:61408520
  1. PPRAM-test

    0下载:
  2. 乒乓缓存,用vhdl编写,用fpga内部ram-Ping-pong buffer, using vhdl to write,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8833828
    • 提供者:任天鹏
  1. 基于FPGA的乒乓RAM

    0下载:
  2. 控制读写乒乓RAMip核代码,通过控制FPGA内部RAM的的读写地址来控制RAM的读写
  3. 所属分类:VHDL编程

  1. pingpang_ram

    0下载:
  2. 乒乓RAM静态随机存储器的控制,用于解决数据流连续存储问题。-Ping pong RAM static random access control, to solve the problem of continuous data flow storage.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:2227278
    • 提供者:fengdelong
搜珍网 www.dssz.com