CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ram code

搜索资源列表

  1. code-demo

    0下载:
  2. HM6264Driver_DS HM6264 RAM的读写驱动程序 S480_Manual_C S480的手动播放范例 (for SACMV26e.lib) SetIOBit SPCE061A 利用C语言进行软件端口位操作范例 ShowsinTable 简易正弦波发生器方案,同时提供全正数的正弦表 SleepTimerWakeup 定时中断唤醒CPU的范例 UARTDemo 使用UART中断方式进行通讯的范例 UARTDouble UART双机通讯范例,采用中断方
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:286073
    • 提供者:赵孜恺
  1. RAM-8

    0下载:
  2. 此程序为dsp原码程序,经过上机调试完全通过.-procedure for dsp original code procedures, after the last plane entirely through debugging.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:152407
    • 提供者:慈红宾
  1. ram

    0下载:
  2. 本原代码中利用VHDL语言编写了RAM、FIFO、ROM等常用的存储和缓冲部件,完全的代码在ALTERA的FPGA上已经通过仿真测试,保证可用.-primitive code using VHDL prepared RAM, FIFO, ROM, and other commonly used storage and buffer components, complete code in the Altera FPGA simulation test has been passed to ens
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2661
    • 提供者:nick
  1. ram

    0下载:
  2. RAM, Random-access memory,Verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14745
    • 提供者:leigh lee
  1. PID-c-source-code

    1下载:
  2. 在使用单片机作为控制cpu时,请稍作简化,具体的PID参数必须由具体对象通过实验确定。由于单片机的处理速度和ram资源的限制,一般不采用浮点数运算,而将所有参数全部用整数,运算到最后再除以一个2的N次方数据(相当于移位),作类似定点数运算,可大大提高运算速度,根据控制精度的不同要求,当精度要求很高时,注意保留移位引起的“余数”,做好余数补偿。这个程序只是一般常用pid算法的基本架构,没有包含输入输出处理部分。
  3. 所属分类:数值算法/人工智能

    • 发布日期:2014-01-17
    • 文件大小:1460
    • 提供者:王强
  1. CODE.rar

    0下载:
  2. AHB总线下的slave ram的verilog代码,AHB bus slave ram verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1408
    • 提供者:龙的传人
  1. ram

    0下载:
  2. ram的vhdl源代码在colloy实现-ram in the vhdl source code to achieve colloy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1920320
    • 提供者:mamou
  1. ram32b

    0下载:
  2. VHDL code for 32 byte RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:527
    • 提供者:Davood
  1. RAM

    1下载:
  2. 用VHDL编写一个字长16位,容量128B的RAM控制实现程序,并进行设计综合和功能模拟 。含源程序,及实验要求。适合初学者学习使用。-VHDL prepared with a 16-bit word length, 128B of the RAM capacity to achieve process control and design of analog integrated and functional. Containing source code, and experimental
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:9156
    • 提供者:赵剑平
  1. ram

    0下载:
  2. 用FPGA做的RAM,源码,调试通过,有工程-FPGA to do with RAM, source code, debugging through, there are works
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-04
    • 文件大小:452217
    • 提供者:马泽龙
  1. RAM

    0下载:
  2. Code for designing 16 bit RAM
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:9622
    • 提供者:Magic
  1. RAM

    0下载:
  2. Ram with 8 bits implemented in vhdl verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2788
    • 提供者:guilherme
  1. RAM

    0下载:
  2. ram code in VHDL with its test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:110784
    • 提供者:sab
  1. slave-ram-verilog

    0下载:
  2. ram代码 用verilog写的,有文字说明-verilog code of ram
  3. 所属分类:Linux Network

    • 发布日期:2017-04-05
    • 文件大小:34303
    • 提供者:张明
  1. ram-rom-VerilogHDL

    0下载:
  2. 利用Verilog编写的各种RAM ROM的代码以及他们的测试模块-Prepared using a variety of RAM ROM Verilog code and their test module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5618
    • 提供者:王体奎
  1. ram

    0下载:
  2. verilog 编写的ram代码,开发环境为quartus-ram write verilog code development environment for quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2052703
    • 提供者:li
  1. ram

    0下载:
  2. hi this is ram code in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8187
    • 提供者:mani
  1. verilog-code

    0下载:
  2. 都是verilog代码:多路选择器代码,储存器代码,时钟分频器代码,串并转换电路代码,香农扩展运算代码,ram代码。-MUX code and REGISTER code clock divider code string conversion circuit code, Shannon extended op code, the ram code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2438698
    • 提供者:ponyma
  1. ram

    0下载:
  2. Ram code for computer architecture
  3. 所属分类:software engineering

    • 发布日期:2017-12-04
    • 文件大小:676
    • 提供者:Ferial
  1. AHB RAM

    1下载:
  2. Verilog写的 AHB总线接口的SRAM代码,带Testbench。(Verilog wrote AHB bus interface SRAM code with Testbench.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:21811200
    • 提供者:容止
« 12 3 4 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com