CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rom table verilog

搜索资源列表

  1. NCO_based_rom

    1下载:
  2. 完整的基于ROM查找表的NCO 产生10位宽的正交信号-Integrity of the ROM-based lookup table of the NCO have 10-bit wide of the orthogonal signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-21
    • 文件大小:86073
    • 提供者:gsg
  1. rom_table

    0下载:
  2. rom vector table vhdl and Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:172341
    • 提供者:KoBin
  1. ROM

    0下载:
  2. Verilog sine的查找表,相信大家会用到-Verilog sine lookup table, I believe we will use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3277
    • 提供者:wuzhongpeng
  1. DDS-frequency-synthesizer

    0下载:
  2. 本文主要讨论了Verilog语言的基于DDS的波形发生器的设计。从设计要求入手,本文给出了DDS的详细设计过程,包括各个模块的设计思想,电路图,Verilog语言程序代码。其大致思想为通过频率控制字和相位控制字去控制正弦函数的ROM存储表的地址并对应着得到其幅度值,最终达到输出需要波形的目的。-This paper mainly discusses the design of the Verilog language, the DDS-based waveform generator. Star
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:813898
    • 提供者:任健铭
  1. DDS(ok)

    0下载:
  2. 制作ROM正弦表并填充FPGA内部ROM,通过调用内部数据实现正弦波输出,开发环境quartusii , 语言verilog , 调试通过 , 附有modelsim调试结果。-Make ROM sine table and fill the ROM internal FPGA, by calling the internal data to achieve the sine wave output, development environment QuartusII, Language Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10149508
    • 提供者:PrudentMe
  1. dds_rom

    0下载:
  2. 基于查找表的DDS的Verilog实现,分为相位累加器模块、ROM模块和顶层DDS模块(Verilog implementation of DDS based on lookup table)
  3. 所属分类:汇编语言

    • 发布日期:2018-05-01
    • 文件大小:3072
    • 提供者:呱啤教教主
搜珍网 www.dssz.com