CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sata verilog

搜索资源列表

  1. sata_device_model

    4下载:
  2. sata_device_model,对做硬盘控制器的朋友有帮助-sata_device_model, to make the hard disk controller has a friend help
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-29
    • 文件大小:17412563
    • 提供者:
  1. CRC32_II

    0下载:
  2. 基于第二类LFSR串行CRC生成器的32位并行实现结构。用于SATA 3。 verilog语言。-32bit parrallel CRC module as specified in SATA 3. The module is realized with verilog.
  3. 所属分类:MPI

    • 发布日期:2017-04-08
    • 文件大小:1128
    • 提供者:邢博
  1. groundhog_v_0_2

    0下载:
  2. Groundhog implements a SATA host bus adapter.-Groundhog implements a SATA host bus adapter. This Verilog-based project creates an easy-to-use interface between a user circuit on a Xilinx FPGA and a SATA hard drive or SSD.
  3. 所属分类:Com Port

    • 发布日期:2017-05-18
    • 文件大小:4618361
    • 提供者:spencer
  1. sata_opencore_rtl

    1下载:
  2. SATA控制器代码,来自opencore(code for SATA controller, from opencore)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:39936
    • 提供者:flyup1028
  1. verilog实现sata2传输协议

    1下载:
  2. 基于verilog实现sata传输协议以及接口操作功能。
  3. 所属分类:VHDL编程

    • 发布日期:2021-09-12
    • 文件大小:50914
    • 提供者:hzg249332062
搜珍网 www.dssz.com