CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - scan

搜索资源列表

  1. PCIScan

    1下载:
  2. 扫描系统中的PCI设备,并打印在屏幕上。根据设备类型分类显示-Scan PCI device of system ,and print them on the screen。
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:15578
    • 提供者:周海涛
  1. grahams

    0下载:
  2. Graham Scan Algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:3538
    • 提供者:Albert
  1. scan

    0下载:
  2. 利用全连接的端口扫描程序,能显示目标主机的端口开放情况。要求能在命令行输入要扫描的目标主机和端口范围。-All connections use port scanning programs, can show the target host' s port and opening up. Requests can enter the command line to scan the target host and port range.
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-10
    • 文件大小:1145258
    • 提供者:yoyo
  1. scan

    0下载:
  2. ++技能白练,浏览文本文件的好程序,适合初学者学习-a good program to scan the file
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-24
    • 文件大小:247004
    • 提供者:puchengyan
  1. scan

    0下载:
  2. QT编写的简单的窗口界面,要实现动作只需修改slot函数即可!-QT write a simple window interface to achieve the action function can only modify the slot!
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-09
    • 文件大小:20276
    • 提供者:李晓
  1. scan

    0下载:
  2. this a algorithm to scan another file what you want-this is a algorithm to scan another file what you want..
  3. 所属分类:Scanner

    • 发布日期:2017-04-06
    • 文件大小:1858
    • 提供者:heru
  1. scan

    0下载:
  2. 网络编程 端口扫描的简单实现,可以作为学习的简单例子-Network programming simple implementation of port scan can be used as a simple example of learning
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-05-07
    • 文件大小:1659036
    • 提供者:张锦涛
  1. 6

    1下载:
  2. 4位数码扫描显示电路,我们控制一个七段LED需要8个输出端口;如果要输出四位十进制数,就需要32的输出端口,这将占用大量的端口资源。采用串行扫描显示,我们只需要8+4共12个端口即可。其原理是:用一个四位的输出端控制,某一时刻只选中其中的一个LED(输出为‘1’表示选中),八位的输出端将该LED所需要显示的值输出;然后四位的输出端值改变,选中下一个LED。这样依次类推。如果选择的频率很快,达到50Hz以上,由于人眼的视觉暂留效应,看起来就像4个LED同时显示。 设计一个程序,输入四个一位十
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3700
    • 提供者:李小勇
  1. 7

    0下载:
  2. 调用总共四个计数器(两个六进制,两个十进制,六进制计数器可由实验五的程序做简单修改而成)串起来构成异步计数器,计数器的值,通过实验九串行扫描输出。用1Hz连续脉冲作为输入,这样就构成一个简单的1h计时器。带一个清零端。 输入:连续脉冲,逻辑开关;输出:七段LED。 -Called a total of four counters (two six-band, two decimal, hexadecimal counter by six experimental procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:6380
    • 提供者:李小勇
  1. ccd_design

    0下载:
  2. 数码相机的CCD前端的详细系统方案。分别包括因不同种类的工作需求,业界不同的类型的CCD:Line(线型),Interline(扫描),Full-Frame(全景)和Frame-Transfer(全传)。-CCD digital camera detailed front-end system solutions. Include, respectively, the demand for different types of work, the industry developed diffe
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:431620
    • 提供者:陈斌
  1. scan

    0下载:
  2. 键盘扫描的程序 单片机按键实现16位的键盘扫描 -Keyboard scan program to achieve 16-bit MCU button keyboard scanning
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:673
    • 提供者:liuxiao
  1. barcodesystem

    0下载:
  2. Its the source code for barcoding system, in which we can scan the barcode using a barcode reader. Its best suitable for super market billing system.
  3. 所属分类:Java Develop

    • 发布日期:2017-03-31
    • 文件大小:2573
    • 提供者:dhilluchakola
  1. VC_Programming_how_keyboard_scan_code_virtual_key_

    0下载:
  2. VC编程实现如何将键盘的扫描码转换成虚拟键值经典代码-VC Programming how to keyboard scan code into a virtual key classic code
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:12808
    • 提供者:ue
  1. IO_CSAN_KEY

    0下载:
  2. 键盘扫描方法,用5个 IO PIN可以扫描25个开关,非常实用-Keyboard scanning method, 5 IO PIN can scan 25 switch, very useful
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:139376
    • 提供者:Sailing
  1. Full.scan.VB.code.modular.programming

    0下载:
  2. VB模块化编程 全盘扫描程序代码Full scan VB code modular programming -Full scan VB code modular programming
  3. 所属分类:Scanner

    • 发布日期:2017-03-26
    • 文件大小:6757
    • 提供者:7
  1. scan

    0下载:
  2. 扫描机器IP地址,MAC地址,主机名,很好用,共享-Scan the machine IP address, MAC address, host name, easy to use, share
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:22929
    • 提供者:tesren
  1. ConvexHull

    0下载:
  2. In this project, three convex hull algorithms are implemented in java. Three algorithms are Brute Force, Graham’s Scan and Jarvis’ March.
  3. 所属分类:Algorithm

    • 发布日期:2017-03-26
    • 文件大小:8845
    • 提供者:zephrion
  1. modscan

    0下载:
  2. modbus scan protocol tester
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-03-31
    • 文件大小:172316
    • 提供者:elghanam
  1. vb.module.system.programming.harddisk.scan

    0下载:
  2. vb系统编程硬盘扫描模块设计源码vb module design system programming source hard disk scan -vb module design system programming source hard disk scan
  3. 所属分类:Disk Tools

    • 发布日期:2017-03-24
    • 文件大小:3649
    • 提供者:李南剑
  1. Scan

    0下载:
  2. source code scan button
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:202465
    • 提供者:rs
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com