CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - spi master

搜索资源列表

  1. SPI.rar

    0下载:
  2. 新华龙单片机SPI通信代码经过测试,保证能用,包括SPI存储器读写,主从模式通信,New single-chip SPI communication code hualong tested to ensure the use, including memory read and write SPI, master-slave mode of communication
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:12399
    • 提供者:冯永刚
  1. spi

    0下载:
  2. SPI master的verilog代码-Verilog code for SPI master
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:2540
    • 提供者:xudong
  1. spi

    1下载:
  2. SPI总线接口的verilog源码,包含仿真模块modelsim 和 quartus 工程。本人测试通过。-SPI bus interface in verilog source code, including the simulation module modelsim and quartus project. I test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:291062
    • 提供者:阿虎
  1. LPC17xx-spi-master

    0下载:
  2. ARM LPC17xx 通过SPI同步串行接口发送数据给从机。-ARM LPC17xx send datas to the slave by SPI synchronous serial interface.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-23
    • 文件大小:5130
    • 提供者:冯康乐
  1. EVB9S12XF512E_Node1_LS

    0下载:
  2. 基于freescale MC9S12XF512 MCU,芯片自带Flexray通信控制器。可实现高达10Mb/s的Flxray通信.本程序主要功能: 1) 500ms实时中断。 2) SPI MASTER 运行于500kHz。 3) Flexray 总线以1.25Mbit/s 通信。-Based on freescale MC9S12XF512 MCU, chip communications controller Flexray own. Can achieve up to
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:798607
    • 提供者:阿昆
  1. spi.tar

    0下载:
  2. This is a verilog code used oversampled clock to implement SPI slave. Also include C code for a ARM processor as the SPI master-This is a verilog code used oversampled clock to implement SPI slave
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:1331
    • 提供者:johnl
  1. spi_core_open

    0下载:
  2. SPI 设计 为主机设计,供大家参考,希望对大家有用-SPI master design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:96381
    • 提供者:
  1. SPI

    0下载:
  2. 这是MC9S12DG128单片机SPI通讯模块开发实例,该实例包含SPI主从机的全部源代码,可实现双机通讯。-This is the MC9S12DG128 MCU SPI communication module development instance of SPI master and slave machines with all the source code, enabling two-machine communication.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:548563
    • 提供者:Boolean
  1. spi_verilog

    0下载:
  2. 实现SPI MASTER功能,并有仿真代码和仿真结果。-To achieve SPI MASTER function, and a simulation code and simulation results.
  3. 所属分类:MPI

    • 发布日期:2017-03-22
    • 文件大小:45437
    • 提供者:davi_insist
  1. spi.c

    0下载:
  2. tested on an STK500 with an ATmega32 with a 14.7456MHz crystal. Purpose: SPI init, read & write routines without interrupt. These routines works only as an SPI Master.-tested on an STK500 with an ATmega32 with a 14.7456MHz crystal. Purpose:
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:949
    • 提供者:akhilesh kumar
  1. l1ghVhVI

    0下载:
  2. The VSPI core implements an SPI interface compatible with the many -- serial EEPROMs, and microcontrollers. The VSPI core is typically used -- as an SPI master, but it can be configured as an SPI slave as well.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:226487
    • 提供者:aaa
  1. SPI

    0下载:
  2. c8051f120的spi接收发送 主从机模式-c8051f120 the spi master and slave mode of transmission received
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:12503
    • 提供者:夜星辰
  1. SpiMaster

    1下载:
  2. This a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile and simulate-This is a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:8831
    • 提供者:RutaliMulye
  1. modelsim

    0下载:
  2. verilog SPI master 的完整实验报告 仅供参考 切勿抄袭-verilog SPI master
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:45490
    • 提供者:ying ma
  1. CE313-SPI-Master-EEPROM

    0下载:
  2. 用MPLAB的例子。CE313 SPI Master EEPROM-With MPLAB example. CE313 SPI Master EEPROM
  3. 所属分类:assembly language

    • 发布日期:2017-11-07
    • 文件大小:20219
    • 提供者:
  1. SPI-Master-Core-DAC-ADC-spartan

    1下载:
  2. SPI Master Core for spartan (ADC, DAC) vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1962350
    • 提供者:onur
  1. JN5148-SPI-MASTER-SLAVE

    0下载:
  2. JN5148 spi模式(SPI主模式) 和IP 模式(SPI从模式)-JN5148 spi master and spi slave(ip interface)
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-27
    • 文件大小:277687
    • 提供者:杨易
  1. SPI-master-P-tb

    0下载:
  2. SPI master VHDL realisation Also contains TestBench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2078
    • 提供者:Stan
  1. Nitro-Parts-lib-SPI-master

    0下载:
  2. Nitro-Parts-lib-SPI Verilog SPI master and slave
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-10-17
    • 文件大小:5120
    • 提供者:d.pershin
  1. spi_masterSPI Master 的Verilog源代码

    0下载:
  2. 实现SPI主站通信功能,感兴趣的可以下载。(spi master use verilog.)
  3. 所属分类:其他

    • 发布日期:2018-04-23
    • 文件大小:133120
    • 提供者:wenyiwenni
« 12 3 4 5 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com