CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sqrt verilog

搜索资源列表

  1. sqrt

    2下载:
  2. verilog 硬件平方根算法 采用与笔算平方根一样的算法
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17808
    • 提供者:lizhizhou
  1. 树式除法型开方器VERILOG实现

    3下载:
  2. 树式除法型开方器VERILOG实现,用于任意长度的无符号数的开方运算,Square root of the tree-type divider-type device to achieve VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:636
    • 提供者:神气
  1. sqrt32

    0下载:
  2. verilog源代码,用于开根号计算(32位)-sqrt32.v sqrt of 32-bit integer, Verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:3746
    • 提供者:杨明
  1. 470P2F07

    0下载:
  2. sqrt root using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:129484
    • 提供者:saluish
  1. sqrt

    0下载:
  2. This zip file contains the verilog source code for square root calculation and its test bench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1993
    • 提供者:Jaganathan
  1. Kaifang

    0下载:
  2. 利用ISE编写的实现开方功能的verilog程序,利用了CORDICIP核,可以完成开方功能-Prepared using ISE verilog program to achieve prescribing functions, using the CORDICIP nuclear, prescribing functions to be completed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:421535
    • 提供者:蜡笔
  1. sqrt_Verilog

    1下载:
  2. Verilog实现开平方模块,内含有具体的算法描述Word文档,简单清晰明了。-sqrt with Verilog HDL. It is useful.
  3. 所属分类:VHDL编程

    • 发布日期:2013-07-10
    • 文件大小:17812
    • 提供者:张重
  1. SQRT

    0下载:
  2. 用verilog代码编写的求整数平方根的FPGA工程。-Verilog code written request with the integer square root of the FPGA project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-22
    • 文件大小:237568
    • 提供者:袁媛
  1. sqrt

    1下载:
  2. 用verilog语言实现二进制数开方运算-verilog sqrt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1629514
    • 提供者:周慧
  1. sqrt

    1下载:
  2. VERILOG描述的开平方模块核,开方运算是FPGA或ASIC设计中所需要的核心运算模块。-VERILOG descr iption of open square modules nuclear root operation is the core computing module FPGA or ASIC design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:766
    • 提供者:Solomon
  1. sqrt

    0下载:
  2. 用verilog实现的开2次方,已经在modelism中经过验证,其时间周期不固定。-Implementation open square with verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:567
    • 提供者:yangyang
  1. Fast_SQRT

    0下载:
  2. 只使用简单的移位操作对32bit整型数进行开方的算法的Verilog实现-realize the sqrt algorithm which only use shift operation on 32bit int by Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5208539
    • 提供者:WangYibin
搜珍网 www.dssz.com