CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - stepper motor vhdl code

搜索资源列表

  1. motorctrl

    0下载:
  2. 滤波器设计及数据采集系统,希望对你有用,欢迎分享。本人想要VHDL的步进电机控制代码-filter design and data acquisition systems in the hope that useful to you, welcome to share. I want VHDL code stepper motor control
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:252096
    • 提供者:代松洮
  1. steppermotorVHDL

    0下载:
  2. 一种全新的VHDL控制步进电机驱动代码,以供学习-a new VHDL controlled stepper motor driver code for learning
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1230
    • 提供者:xufeng
  1. motor

    2下载:
  2. ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-10-17
    • 文件大小:2608
    • 提供者:lauking
  1. bujindianji

    0下载:
  2. vhdl代码!步进电机定位控制系统VHDL程序与仿真!初学者可以参考参考-VHDL code! Stepper motor positioning control system and simulation of VHDL procedures! Beginners can refer to reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4905
    • 提供者:daxiadian2
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. VHDLsourcecode

    0下载:
  2. source code for counter, freq devider, traffic light, stepper motor, flipflop
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:2363
    • 提供者:ibnudahlan
  1. motorrun

    0下载:
  2. This code is used to drive a unipolar stepper motor using SPARTAN3E FPGA. and coding is done in verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:434060
    • 提供者:kal
  1. Stepper_controller_MAx

    0下载:
  2. stepper motor controller vhdl and verilog code is given with explainintion testbench in verilog quartus and modelsim implementation is also awailable -stepper motor controller vhdl and verilog code is given with explainintion testbench in verilog qu
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:76691
    • 提供者:pravin
  1. counter

    0下载:
  2. 基于VHDL的计数代码,可用于FPGA芯片对步进电机的控制-Count based on VHDL code for FPGA chips can be used to control stepper motor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:885
    • 提供者:sun
  1. bujindianji

    0下载:
  2. VHDL通过设计有限状态机实现步进电机控制源码程序-VHDL implementation through the design of finite state machine source code stepper motor control program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4103
    • 提供者:牟春晓
  1. CPLD_stepper_motor

    0下载:
  2. 用CPLD控制简单的步进电机,有源码和仿真文件。-Simple to use CPLD stepper motor control, with source code and simulation files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:412947
    • 提供者:kele
  1. 4

    0下载:
  2. VHDL CODE FOR stepper motor control
  3. 所属分类:VHDL-FPGA-Verilog

  1. state-machine-code

    0下载:
  2. 用Altera Quartus II 的VHDL语言完成的状态机控制步进电机的程序员代码-The use of Altera Quartus II VHDL language to complete the state machine code programmer stepper motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:274479
    • 提供者:nanana
  1. StepperMotorDrivepinassign

    0下载:
  2. stepper motor vhdl pin assignments and code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3136
    • 提供者:gariyak ak
  1. Ssteep_mottort

    0下载:
  2. 步进电机定位控制系统VHDL程序源码,能进行步进角的的倍数设定,激磁方式的选择 -Stepper motor positioning control system procedures and VHDL source code can be multiples of the step angle setting, exciting way choice
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-17
    • 文件大小:4663
    • 提供者:molingrang
  1. project_l

    0下载:
  2. VHDL的步進馬達驅動程式碼,有點複雜,請見諒-VHDL stepper motor driver code is a bit complicated, please forgive me.
  3. 所属分类:Software Testing

    • 发布日期:2017-12-08
    • 文件大小:295335
    • 提供者:jj
  1. MOTO

    0下载:
  2. 步进电机的马达定位控制 vhdl 源码 内容包括 控制正反转,复位开关,设定的度数的二进制代码。-Motor stepper motor positioning control the vhdl source content control Reversible, reset switch, set the degree of binary code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:483359
    • 提供者:我是谁
  1. step-machine

    0下载:
  2. fpga课程设计中的步进电机简易编程代码,VHDL语言。-FPGA curriculum design stepper motor simple programming code, VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:120784
    • 提供者:hanmin
  1. motor

    0下载:
  2. 步进电机的fpgaVHDL控制程序,代码很详细,已经调试通过。-Fpga VHDL of stepper motor control procedures, the code is very detailed, have been debug through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1112
    • 提供者:刘中汉
搜珍网 www.dssz.com