CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - switch

搜索资源列表

  1. Filter-VideoSmartSwitch

    0下载:
  2. Directx Filter Color Smart Switch 自动格式识别转化,能兼容多种视频格式-Directx Filter Color Smart Switch automatically format to identify transformation, compatible with multiple video formats
  3. 所属分类:DirextX

    • 发布日期:2017-03-27
    • 文件大小:223600
    • 提供者:cziy
  1. switch

    0下载:
  2. 北邮_现代交换原理的详细课件,很好的说明了交换原理-Beijing University of Posts and Telecommunications _ the principle of the modern exchange detailed courseware, a very good descr iption of the exchange principle
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:2004719
    • 提供者:江涛涛
  1. Case_Switch

    0下载:
  2. 用labview编制的vi程序,用到了case,switch程序结构-Prepared using LabVIEW vi procedures, use of the case, switch program structure
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-28
    • 文件大小:6029
    • 提供者:gigi
  1. snmp

    0下载:
  2. 一个如何获得交换机资源的类,能够将交换机的主要信息显示出来-How to obtain a type of switch resources, able to switch out the main information display
  3. 所属分类:SNMP

    • 发布日期:2017-04-04
    • 文件大小:1679
    • 提供者:wawayu
  1. Ethernet_Switch

    1下载:
  2. VIA VT6524 8口网管交换机源码-Web Smart Ethernet Switch source code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-01
    • 文件大小:975577
    • 提供者:宋桂明
  1. bat

    0下载:
  2. windows IP地址切换工具,方便不同网络地址切换,运行环境jdk5以上。-windows IP address switch instrument to facilitate the switch different network address, operating environment over jdk5.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-29
    • 文件大小:40449
    • 提供者:Eric
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. SF_table_interface

    0下载:
  2. switch fabric部分代码: fabric和table management 的数据交换. Mac address 从afifo输入, 查询的结果:output port number 存于pfifo中-switch fabric part of the code: fabric and table management data exchange. Mac address from afifo input, the results of inquiries: output port n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2338
    • 提供者:无影
  1. 16bit_display8bitLED

    0下载:
  2. Abstract七段显示器在DE2可当成Verilog的console,做为16进位的输出结果。Introduction使用环境:Quartus II 7.2 SP1 + DE2(Cyclone II EP2C35F627C6)简单的使用switch当成2进位输入,并用8位数的七段显示器显示16进位的结果。-Abstract Seven-Segment Display as Verilog to DE2 at the console, as 16 of the output binary. In
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6756
    • 提供者:王媛媛
  1. switch

    0下载:
  2. 无线开关程序,非常实用,经过实验验证了的-Wireless switch procedure, very practical, after experimental verification of the
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1950
    • 提供者:cgroad
  1. rstp

    1下载:
  2. rstp for switch in vxworks-rstp for switch in vxworks
  3. 所属分类:VxWorks

    • 发布日期:2017-04-02
    • 文件大小:101449
    • 提供者:aibin
  1. switch

    0下载:
  2. 对图像的加入进行界面切换,主要包括图像的移动、扫描切换、栅条切换、扩张与紧缩、交叉飞入、马赛克等-The addition of the image to switch interface, including the movement of images, scanning switch rack switch, expansion and contraction, cross-fly, mosaics, etc.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:374026
    • 提供者:YanMeng
  1. pic16f684carbo

    0下载:
  2. This program is Automobile CNG Conversion Electronic Change over switch source `code
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:3802
    • 提供者:Sanjib Roy
  1. Echo

    0下载:
  2. Java Switch On/Off source
  3. 所属分类:Linux Network

    • 发布日期:2017-04-05
    • 文件大小:20626
    • 提供者:imDangerous
  1. S3_SW

    0下载:
  2. 这个程序是用来测试拨码开关与按键开关的, 当按下按键开关时,相应的led会点亮, 同理打开拨码开关相应的led也会点亮-This procedure is used to test switch DIP switch and button, when pressing the button switch, the corresponding led will light up, open the same token the corresponding DIP switch led wi
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:188386
    • 提供者:刘飞
  1. IpCh

    1下载:
  2. 非常简单的一个ip地址修改器,编译完成以后只有100多k,方便于用本本上班、晚上在家,或者在不同网络中经常切换的,记录经常使用的ip,需要用某Ip,设置一下即可-Very simple modification of an ip address, and compiled only after the completion of more than 100 k, convenient to use books to work, at home, or in different networks
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-15
    • 文件大小:3652254
    • 提供者:季凯
  1. onekey

    0下载:
  2. 一键多功能,可引申为单键调光,开关等一此功能实现-One-touch multi-function, can be extended for one-touch dimmer switch to achieve this functionality, such as a
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:14801
    • 提供者:xpf
  1. yuanlaideqiehuan

    0下载:
  2. 自己做的matlab的实现FUZZY和PID控制互相切换的仿真-Matlab own realization of the FUZZY and PID control to switch the simulation with each other!!
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:7896
    • 提供者:asdasda
  1. Switch_user

    0下载:
  2. This very simple hacking program with switch usering!-This is very simple hacking program with switch usering!
  3. 所属分类:Java Develop

    • 发布日期:2017-04-17
    • 文件大小:30711
    • 提供者:sa
  1. switch

    0下载:
  2. 该源码用在一个LED显示的功率计上,能实时显示电路的工作功率-The source code used in an LED display of the power meter on the work of real-time display circuit power
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:24033
    • 提供者:tony
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com