CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - taximeter

搜索资源列表

  1. chuzucheVHDL

    0下载:
  2. 用VHDL写的出租车计价程序,拥有详细的说明-Taximeter written with VHDL program, has a detailed descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1219
    • 提供者:刘伟
  1. taxivhdl

    0下载:
  2. 出租车计价器VHDL程序与仿真。--文件名:taxi.hd。 --功能:出租车计价器。 -Taximeter VHDL procedures and simulation.- File Name: taxi.hd.- Function: taxi meter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:85925
    • 提供者:fxl
  1. czc

    0下载:
  2. 以AT89C52 单片机为中心附加A44E 霍尔传感器测距,实现对出租车计价统计,输出采用8 段数码显示管。其中,总金额显示4位,单价及路程个显示两位。该系统满足集计费、单价和路程等多种计量功能为一体的出租车计价器的实用要求。-AT89C52 microcontroller with additional A44E Hall sensor as the center distance, to realize the taximeter statistics, output in 8-segmen
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:156073
    • 提供者:nextone
  1. chuzuchejijiaqi

    0下载:
  2. 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。-Taximeter according to passengers traveling in a car driving distance and how much waiting time for calculation and display in synchronization trip fare value.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:615387
    • 提供者:王凯
  1. Taxi

    1下载:
  2. EDA课程设计出租车计价器的VHDL语言设计的程序 出租车计价器:5KM起计价,起始价5元,每公里1.2元;传感器输出脉冲为0.5m/个;每0.5km改变一次显示,且提前显示(只显示钱数)-EDA curriculum Taximeter the VHDL language design process Taximeter5KM from the valuationthe starting price of 5 yuan1.2 yuan per kilometersensor output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:165114
    • 提供者:李小璐
  1. ChuZuCheJiJiaQi

    0下载:
  2. 出租车计价器VHDL程序与仿真 --功能:出租车计价器。 --最后修改日期:2009.4.9。-taximeter of taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:85872
    • 提供者:梁辰
  1. Taximetersystem

    0下载:
  2. 出租车计价器系统,使用89c51芯片进行编程,主要了解单片机应用-Taximeter system, using 89c51 chip programming, the main understanding of SCM application
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2143
    • 提供者:zhaodong
  1. car

    0下载:
  2. 计算机硬件技术基础课程设计-出租车计价器-重大-Basic courses of computer hardware design- taximeter- CQU
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:3101
    • 提供者:Jonny
  1. chuzuchejijiaqi

    0下载:
  2. 出租车计价器设计,包括仿真环境介绍,实验方案,系统工作原理,硬件设计以及软件流程-Taximeter design, including simulation environment introduced experimental program, the system works, the hardware design and software process
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:177812
    • 提供者:胡波
  1. chuzhuche

    0下载:
  2. 出租车计价器代码与系统框架图与各部分详图-Taximeter map code and system framework
  3. 所属分类:software engineering

    • 发布日期:2017-05-28
    • 文件大小:11747525
    • 提供者:Sandy
  1. Taximeter_VHDL_program

    0下载:
  2. 出租车计价器VHDL程序,功能:出租车计价器 ,最后修改日期:2004.4.9-Taximeter VHDL procedure, function: the taxi meter, the last modified date: 2004.4.9
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:28579
    • 提供者:卫亮
  1. taxi

    0下载:
  2. 介绍了一种以单片机为核心的多功能出租车计价器,该计价器采用单CPU结构,具有计量功能、掉电保护功能、语音功能等。文中阐述了系统的硬件及软件结构。-This paper present a new type of taximeter based on single chip microcomputer. In addition to metering the distance, this kind of taximeter have safe memory function, speech fun
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:12564
    • 提供者:郭未来
  1. taxi-money

    1下载:
  2. 使用verilog编写,实现出租车计价器得日常计费功能-Prepared using verilog achieve Taximeter daily accounting functions have
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2993155
    • 提供者:陈凡
  1. key

    0下载:
  2. 出租车计价系统设计,完整的按键功能代码,实现出租车计价系统的按键功能-Taximeter key program design
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:3682
    • 提供者:JERRY
  1. taxi

    0下载:
  2. 出租车计价器源码 module distancemokuai-Taximeter source module distancemokuai
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:583
    • 提供者:tianqinqin
  1. taximeter

    0下载:
  2. 基于单片机AT89C52的出租车计价程序-Taxi AT89C52 microcontroller based pricing procedures
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:959276
    • 提供者:寇会钢
  1. Ji-jia-qi

    0下载:
  2. 用 verilog实现的基于FPGA的出租车计价器,只有源代码,没有相关说明-The source is Taximeter which is complishment by language verilog on FGPA, some college students whose major is computer science may be related to it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:14718
    • 提供者:姚小明
  1. 135

    0下载:
  2. 简易出租车计价器,等待2分钟后计价- Simple taximeter, wait 2 minutes after the valuation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:127213
    • 提供者:asdfghjkl
  1. e0ea34e36c4c

    0下载:
  2. 一份用VHDL语言写的出租车计价器,于Quartus II上仿真。以供需要者参考使用!-A write VHDL Taximeter on in the Quartus II simulation. Reference for those who need to use!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:28029
    • 提供者:雪圣
  1. taxi

    0下载:
  2. 出租车计价器VHDL程序 The Taximeter VHDL program-The Taximeter VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:27809
    • 提供者:ll
« 1 2 3 45 6 7 »
搜珍网 www.dssz.com