CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog ds18b

搜索资源列表

  1. ds18b20

    0下载:
  2. 单路DS18B20的verilog HDL 代码,精度为1℃无须转换数据,直接输出结果。占用300个LE资源。-Single DS18B20 the verilog HDL code, and an accuracy of 1 ℃ without converting the data, direct output. Occupy 300 LE resources.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:443065
    • 提供者:chenwl
  1. ds18b20_verilog

    0下载:
  2. 用verilog语言编写,实现DS18B20测量温度的程序,包括整个工程文件。-Using verilog language, achieve DS18B20 temperature measurement procedures, and including the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:174833
    • 提供者:pangzi
搜珍网 www.dssz.com